Allen C.-H. Wu

According to our database1, Allen C.-H. Wu authored at least 62 papers between 1990 and 2021.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021
A novel privacy-preserving deep learning scheme without a cryptography component.
Comput. Electr. Eng., 2021

A Dynamic Link-latency Aware Cache Replacement Policy (DLRP).
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2019
A Novel Privacy-Preserving Deep Learning Scheme without Using Cryptography Component.
CoRR, 2019

Timing Aware Wrapper Cells Reduction for Pre-bond Testing in 3D-ICs.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

Crosstalk-aware TSV-buffer Insertion in 3D IC.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

2017
A Novel Cache-Utilization-Based Dynamic Voltage-Frequency Scaling Mechanism for Reliability Enhancements.
IEEE Trans. Very Large Scale Integr. Syst., 2017

2016
A novel cache-utilization based dynamic voltage frequency scaling (DVFS) mechanism for reliability enhancements.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
Elastylus: flexible haptic painting stylus.
Proceedings of the SIGGRAPH Asia 2015 Emerging Technologies, 2015

2007
Performance-Driven Crosstalk Elimination at Postcompiler Level-The Case of Low-Crosstalk Op-Code Assignment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

2006
A power-driven multiplication instruction-set design method for ASIPs.
IEEE Trans. Very Large Scale Integr. Syst., 2006

Decomposition of instruction decoders for low-power designs.
ACM Trans. Design Autom. Electr. Syst., 2006

Performance-driven crosstalk elimination at post-compiler level.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

2005
A fast lane and vehicle detection approach for autonomous vehicles.
Proceedings of the Signal and Image Processing (SIP 2005), 2005

2004
Decomposition of Instruction Decoder for Low Power Design.
Proceedings of the 2004 Design, 2004

2003
A Custom-Cell Identification Method for High-Performance Mixed Standard/Custom-Cell Designs.
Proceedings of the 2003 Design, 2003

G-MAC: An Application-Specific MAC/Co-Processor Synthesizer.
Proceedings of the 2003 Design, 2003

2002
A carry-select-adder optimization technique for high-performance Booth-encoded Wallace-tree multipliers.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

High-performance FIR generation based on a timing-driven architecture and component selection method.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

2001
An RTL design-space exploration method for high-level applications.
Proceedings of ASP-DAC 2001, 2001

2000
Guest editorial 11th international symposium on system-level synthesis and design (ISSS'98).
IEEE Trans. Very Large Scale Integr. Syst., 2000

A predictive system shutdown method for energy saving of event-driven computation.
ACM Trans. Design Autom. Electr. Syst., 2000

Multiway FPGA partitioning by fully exploiting design hierarchy.
ACM Trans. Design Autom. Electr. Syst., 2000

Delay Budgeting for a Timing-Closure-Driven Design Method.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000

Embedded tutorial: essential issues for IP reuse.
Proceedings of ASP-DAC 2000, 2000

1999
EmGen-a module generator for logic emulation applications.
IEEE Trans. Very Large Scale Integr. Syst., 1999

A timing-driven soft-macro placement and resynthesis method in interaction with chip floorplanning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Module Generation of High Performance FPGA-Based Multipliers.
Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays, 1999

A Timing-Driven Soft-Macro Resynthesis Method in Interaction with Chip Floorplanning.
Proceedings of the 36th Conference on Design Automation, 1999

A Multi-Level FPGA Synthesis Method Supporting HDL Debugging for Emulation-Based Designs.
Proceedings of the 1999 Conference on Asia South Pacific Design Automation, 1999

1998
Integrating HDL Synthesis and Partitioning for Multi-FPGA Designs.
IEEE Des. Test Comput., 1998

Performance-driven soft-macro clustering and placement by preserving HDL design hierarchy.
Proceedings of the 1998 International Symposium on Physical Design, 1998

Performance-Driven Multi-FPGA Partitioning Using Functional Clustering and Replication.
Proceedings of the 35th Conference on Design Automation, 1998

1997
Datapath Optimization Using Layout Information: An Empirical Study.
VLSI Design, 1997

Scheduling techniques for variable voltage low power designs.
ACM Trans. Design Autom. Electr. Syst., 1997

A performance and routability-driven router for FPGAs considering path delays.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

A hierarchical functional structuring and partitioning approach for multiple-FPGA implementations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

Preserving HDL synthesis hierarchy for cell placement.
Proceedings of the 1997 International Symposium on Physical Design, 1997

Module Generation of Complex Macros for Logic-Emulation Applications.
Proceedings of the 1997 ACM/SIGDA Fifth International Symposium on Field Programmable Gate Arrays, 1997

A Real-Time RTL Engineering-Change Method Supporting On-Line Debugging for Logic-Emulation Applications.
Proceedings of the 34st Conference on Design Automation, 1997

Multi-Way FPGA Partitioning by Fully Exploiting Design Hierarchy.
Proceedings of the 34st Conference on Design Automation, 1997

Evaluating cost-performance tradeoffs for system level applications.
Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, 1997

An entropy measure for power estimation of Boolean functions.
Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, 1997

DP-Gen: a datapath generator for multiple-FPGA applications.
Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, 1997

1996
The Design and Inplementation of a Cooperative Design-view Environment for Interactive Partitioning Applications.
Softw. Pract. Exp., 1996

1995
Combining technology mapping and placement for delay-minimization in FPGA designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

TRACER-fpga: a router for RAM-based FPGA's.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

High-Level Synthesis -A Tutorial.
IEICE Trans. Inf. Syst., 1995

EMPAR: an interactive synthesis environment for hardware emulations.
Proceedings of the 1995 Conference on Asia Pacific Design Automation, Makuhari, Massa, Chiba, Japan, August 29, 1995

1994
A transformation-based method for loop folding.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

State Assignment for Power and Area Minimization.
Proceedings of the Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1994

A Synthesis Method for Mixed Synchronous / Asynchronous Behavior.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

1993
Combining technology mapping and placement for delay-optimization in FPGA designs.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

1992
Partitioning algorithms for layout synthesis from register-transfer netlists.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

Layout placement for sliced architecture.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

An efficient multi-view design model for real-time interactive synthesis.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

Accurate layout area and delay modeling for system level design.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

An effective methodology for functional pipelining.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

Timing models for high-level synthesis.
Proceedings of the conference on European design automation, 1992

Youn-Long Steve Lin
Springer, ISBN: 978-1-4615-3636-9, 1992

1991
Layout-Area Models for High-Level Synthesis.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

Glue-logic partitioning for floorplans with a rectilinear datapath.
Proceedings of the conference on European design automation, 1991

1990
A new algorithm for transistor sizing in CMOS circuits.
Proceedings of the European Design Automation Conference, 1990


  Loading...