Zahava Koren

According to our database1, Zahava Koren authored at least 58 papers between 1986 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of two.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Image Degradation in Time Due to Interacting Hot Pixels.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

2022
Image Degradation due to Interacting Adjacent Hot Pixels.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2022

2021
Dependence of SEUs in Digital Cameras on Pixel size and Elevation.
Proceedings of the 36th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2021

2020
Using digital imagers to characterize the dependence of energy and area distributions of SEUs on elevation.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2020

2019
Image degradation from hot pixel defects with pixel size shrinkage.
Proceedings of the Image Sensors and Imaging Systems 2019, 2019

Detecting SEUs in Noisy Digital Imagers with small pixels.
Proceedings of the 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2019

2018
Exploring Hot Pixel Characteristics for 7 to 1.3 micron Pixels.
Proceedings of the Image Sensors and Imaging Systems 2018, 2018

Analysis of Single Event Upsets Based on Digital Cameras with Very Small Pixels.
Proceedings of the 2018 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2018

2017
Hot Pixel Behavior as Pixel Size Reduces to 1 micron.
Proceedings of the Image Sensors and Imaging Systems 2017, 2017

Exploring soft errors (SEUs) with digital imager pixels ranging from 7 to 1.3 μm.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2017

2016
Increases in Hot Pixel Development Rates for Small Digital Pixel Sizes.
Proceedings of the Image Sensors and Imaging Systems 2016, 2016

Experimental study and analysis of soft and permanent errors in digital cameras.
Proceedings of the 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2016

2015
Enhanced correction methods for high density hot pixel defects in digital imagers.
Proceedings of the Image Sensors and Imaging Systems 2015, 2015

Single Event Upsets and Hot Pixels in digital imagers.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

2014
Scheduling imprecise task graphs for real-time applications.
Int. J. Embed. Syst., 2014

Correcting high-density hot pixel defects in digital imagers.
Proceedings of the Image Sensors and Imaging Systems 2014, 2014

Improved correction for hot pixels in digital imagers.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

2013
Empirical formula for rates of hot pixel defects based on pixel size, sensor area, and ISO.
Proceedings of the Sensors, 2013

Improved image accuracy in Hot Pixel degraded digital cameras.
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013

2012
Do more camera pixels result in a better picture?
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012

Cost Functions for Scheduling Tasks in Cyber-physical Systems.
Proceedings of the ICINCO 2012 - Proceedings of the 9th International Conference on Informatics in Control, Automation and Robotics, Volume 1, Rome, Italy, 28, 2012

Projecting the rate of in-field pixel defects based on pixel size, sensor area, and ISO.
Proceedings of the Sensors, 2012

Relating digital imager defect rates to pixel size, sensor area and ISO.
Proceedings of the 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2012

2011
Tradeoffs in imager design parameters for sensor reliability.
Proceedings of the Sensors, 2011

Predicting Pixel Defect Rates Based on Image Sensor Parameters.
Proceedings of the 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2011

2010
Tradeoffs in Imager Design with Respect to Pixel Defect Rates.
Proceedings of the 25th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2010

2009
Event-driven adaptive duty-cycling in sensor networks.
Int. J. Sens. Networks, 2009

Statistical identification and analysis of defect development in digital imagers.
Proceedings of the Digital Photography V, 2009

Characterization of Gain Enhanced In-Field Defects in Digital Imagers.
Proceedings of the 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2009

2008
Automatic Detection of In-field eld Defect Growth in Image Sensors.
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008

2007
Identification of in-field defect development in digital image sensors.
Proceedings of the Digital Photography III, San Jose, CA, USA, January 29-30, 2007, 2007

Quantitative Analysis of In-Field Defects in Image Sensor Arrays.
Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007

2006
On-Line Mapping of In-Field Defects in Image Sensor Arrays.
Proceedings of the 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 2006

2005
On-Line Identification of Faults in Fault-Tolerant Imagers.
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005

2004
A Self-Correcting Active Pixel Sensor Using Hardware and Software Correction.
IEEE Des. Test Comput., 2004

Application-Level Fault Tolerance in the Orbital Thermal Imaging Spectrometer.
Proceedings of the 10th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2004), 2004

2003
Pre-Processing Input Data to Augment Fault Tolerance in Space Applications.
Proceedings of the 2003 International Conference on Dependable Systems and Networks (DSN 2003), 2003

2002
Using Rational Approximations for Evaluating the Reliablity of Highly Reliable Systems.
Proceedings of the 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 2002

2001
Advanced Fault-Tolerance Techniques for a Color Digital Camera-on-a-Chip.
Proceedings of the 16th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2001), 2001

2000
Incorporating Yield Enhancement into the Floorplanning Process.
IEEE Trans. Computers, 2000

Synthesis of Interconnection Networks: A Novel Approach.
Proceedings of the 2000 International Conference on Dependable Systems and Networks (DSN 2000) (formerly FTCS-30 and DCCA-8), 2000

A Self-Correcting Active Pixel Camera.
Proceedings of the 15th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2000), 2000

1998
Defect tolerance in VLSI circuits: techniques and yield analysis.
Proc. IEEE, 1998

Measuring the Vulnerability of Interconnection Networks in Embedded Systems.
Proceedings of the Parallel and Distributed Processing, 10 IPPS/SPDP'98 Workshops Held in Conjunction with the 12th International Parallel Processing Symposium and 9th Symposium on Parallel and Distributed Processing, Orlando, Florida, USA, March 30, 1998

Surge Handling as a Measure of Real-Time System Dependability.
Proceedings of the Parallel and Distributed Processing, 10 IPPS/SPDP'98 Workshops Held in Conjunction with the 12th International Parallel Processing Symposium and 9th Symposium on Parallel and Distributed Processing, Orlando, Florida, USA, March 30, 1998

Yield and Routing Objectives in Floorplanning.
Proceedings of the 13th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '98), 1998

1997
On the effect of floorplanning on the yield of large area integrated circuits.
IEEE Trans. Very Large Scale Integr. Syst., 1997

Analysis of a Hybrid Defect-Tolerance Scheme for High-Density Memory ICs.
Proceedings of the 1997 Workshop on Defect and Fault-Tolerance in VLSI Systems (DFT '97), 1997

1994
A statistical study of defect maps of large area VLSI IC's.
IEEE Trans. Very Large Scale Integr. Syst., 1994

The Hyeti Defect Tolerant Microprocessor: A Practical Experiment and its Cost-Effectiveness Analysis.
IEEE Trans. Computers, 1994

1993
A Unified Negative-Binomial Distribution for Yield Analysis of Defect-Tolerant Circuits.
IEEE Trans. Computers, 1993

Does the Floorplan of a Chip Affect Its Yield?
Proceedings of the IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, 1993

1991
Discrete and Continuous Models for the Performance of Reconfigurable Multistage Systems.
IEEE Trans. Computers, 1991

WDM Passive Star-Protocols and Performance Analysis.
Proceedings of the Proceedings IEEE INFOCOM '91, 1991

1988
Prioritized demand assignment protocols and their evaluation.
IEEE Trans. Commun., 1988

On the Bandwidth of a Multi-Stage Network in the Presence of Faulty Components.
Proceedings of the 8th International Conference on Distributed Computing Systems, 1988

1986
Analysis of a Class of Recovery Procedures.
IEEE Trans. Computers, 1986

A model for evaluating demand assignment protocols with arbitrary workloads.
Proceedings of the ACM SIGCOMM conference on Communications architectures & protocols, 1986


  Loading...