Norbert Wehn

Orcid: 0000-0002-9010-086X

Affiliations:
  • University of Kaiserslautern, Germany


According to our database1, Norbert Wehn authored at least 334 papers between 1986 and 2024.

Collaborative distances:
  • Dijkstra number2 of three.
  • Erdős number3 of two.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Real-Time FPGA Demonstrator of ANN-Based Equalization for Optical Communications.
CoRR, 2024

Lessons Learned from Designing an Open-Source Automated Feedback System for STEM Education.
CoRR, 2024

Fully-blind Neural Network Based Equalization for Severe Nonlinear Distortions in 112 Gbit/s Passive Optical Networks.
CoRR, 2024

2023
The NWRD Dataset: An Open-Source Annotated Segmentation Dataset of Diseased Wheat Crop.
Sensors, August, 2023

Row-Merged Polar Codes: Analysis, Design and Decoder Implementation.
CoRR, 2023

A Mapping of Triangular Block Interleavers to DRAM for Optical Satellite Communication.
CoRR, 2023

Efficient Hardware Implementation of Constant Time Sampling for HQC.
CoRR, 2023

Variational Quantum Linear Solver enhanced Quantum Support Vector Machine.
CoRR, 2023

Automorphism Ensemble Polar Code Decoders for 6G URLLC.
CoRR, 2023

From Algorithm to Implementation: Enabling High-Throughput CNN-Based Equalization on FPGA for Optical Communications.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2023

A Precise Measurement Platform for LPDDR4 Memories.
Proceedings of the International Symposium on Memory Systems, 2023

Successive Cancellation Automorphism List Decoding of Polar Codes.
Proceedings of the 12th International Symposium on Topics in Coding, 2023

Energy-Efficient Decoding of Spatially Coupled Low-Density Parity-Check Codes using Adaptive Window Sizes.
Proceedings of the 12th International Symposium on Topics in Coding, 2023

A Learning-Based Approach for Single Event Transient Analysis in Pass Transistor Logic.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023

A Novel Iterative Estimation Technique Using Radar Sensing to Remotely Characterize Oil Slicks During Spills.
Proceedings of the IEEE International Geoscience and Remote Sensing Symposium, 2023

Oil Spill Detection in Calm Ocean Conditions: A U-Net Model Novel Solution.
Proceedings of the IEEE International Geoscience and Remote Sensing Symposium, 2023

Torwards Variability Immune Scalable FeFET-based Macros for IMC DNN Accelerators.
Proceedings of the 30th IEEE International Conference on Electronics, Circuits and Systems, 2023

Automatic DRAM Subsystem Configuration with irace.
Proceedings of the DroneSE and RAPIDO: System Engineering for constrained embedded systems, 2023

Unsupervised ANN-Based Equalizer and Its Trainable FPGA Implementation.
Proceedings of the 2023 Joint European Conference on Networks and Communications & 6G Summit, 2023


2022
FELIX: A Ferroelectric FET Based Low Power Mixed-Signal In-Memory Architecture for DNN Acceleration.
ACM Trans. Embed. Comput. Syst., November, 2022

When Massive GPU Parallelism Ain't Enough: A Novel Hardware Architecture of 2D-LSTM Neural Network.
ACM Trans. Reconfigurable Technol. Syst., 2022

Spatially Coupled Serially Concatenated Codes: Performance Evaluation and VLSI Design Tradeoffs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Secure IoT in the Era of Quantum Computers - Where Are the Bottlenecks?
Sensors, 2022

Smart Sensors for Augmented Electrical Experiments.
Sensors, 2022

Multidimensional Minimum Euclidean Distance Approach Using Radar Reflectivities for Oil Slick Thickness Estimation.
Sensors, 2022

Increasing Throughput of In-Memory DNN Accelerators by Flexible Layerwise DNN Approximation.
IEEE Micro, 2022

DRAMSys4.0: An Open-Source Simulation Framework for In-depth DRAM Analyses.
Int. J. Parallel Program., 2022

A Weighted Current Summation Based Mixed Signal DRAM-PIM Architecture for Deep Neural Network Inference.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2022

Minimum-Integer Computation Finite Alphabet Message Passing Decoder: From Theory to Decoder Implementations towards 1 Tb/s.
Entropy, 2022

Beyond 100 Gbit/s Pipeline Decoders for Spatially Coupled LDPC Codes.
EURASIP J. Wirel. Commun. Netw., 2022

Code-based Cryptography in IoT: A HW/SW Co-Design of HQC.
Proceedings of the 8th IEEE World Forum on Internet of Things, 2022

FeFET versus DRAM based PIM Architectures: A Comparative Study.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022

Finite-Alphabet Message Passing using only Integer Operations for Highly Parallel LDPC Decoders.
Proceedings of the 23rd IEEE International Workshop on Signal Processing Advances in Wireless Communication, 2022

Efficient Hardware Approximation for Bit-Decomposition Based Deep Neural Network Accelerators.
Proceedings of the 35th IEEE International System-on-Chip Conference, 2022

Towards More Secure PUF Applications: A Low-Area Polar Decoder Implementation.
Proceedings of the 35th IEEE International System-on-Chip Conference, 2022

A Critical Assessment of DRAM-PIM Architectures - Trends, Challenges and Solutions.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2022

A Framework for Formal Verification of DRAM Controllers.
Proceedings of the 2022 International Symposium on Memory Systems, 2022

Unveiling the Real Performance of LPDDR5 Memories.
Proceedings of the 2022 International Symposium on Memory Systems, 2022

Optimization of DRAM based PIM Architecture for Energy-Efficient Deep Neural Network Training.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A Hybrid Approach combining ANN-based and Conventional Demapping in Communication for Efficient FPGA-Implementation.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2022

A Maximum A-Posteriori Probabilistic Approach using UAV-Nadir-Looking Wide-Band Radar for Remote Sensing Oil-Spill Detection.
Proceedings of the IEEE International Geoscience and Remote Sensing Symposium, 2022

Exploration of Thermoelectric Energy Harvesting for Secure, TLS-Based Industrial IoT Nodes.
Proceedings of the Internet of Things - ICIOT 2022, 2022

Investigation of Pass Transistor Logic in a 12nm FinFET CMOS Technology.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Smart Teaching Materials with Real-Time Augmented Reality Support for Introductory Physics Education.
Proceedings of the Adjunct Proceedings of the 2022 ACM International Joint Conference on Pervasive and Ubiquitous Computing and the 2022 ACM International Symposium on Wearable Computers, 2022

Blind and Channel-agnostic Equalization Using Adversarial Networks.
Proceedings of the IEEE Global Communications Conference, 2022

FPGA-based Trainable Autoencoder for Communication Systems.
Proceedings of the FPGA '22: The 2022 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Virtual Event, USA, 27 February 2022, 2022

Artificial Neural Networks-Based Radar Remote Sensing to Estimate Geographical Information during Oil-Spills.
Proceedings of the 30th European Signal Processing Conference, 2022

Machine learning based soft error rate estimation of pass transistor logic in high-speed communication.
Proceedings of the IEEE European Test Symposium, 2022

Revisiting Pass-Transistor Logic Styles in a 12nm FinFET Technology Node.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

A Custom Hardware Architecture for the Link Assessment Problem.
Proceedings of the Algorithms for Big Data - DFG Priority Program 1736, 2022

Increasing the Sampling Efficiency for the Link Assessment Problem.
Proceedings of the Algorithms for Big Data - DFG Priority Program 1736, 2022

2021
Correction to: Efficient Hardware Architectures for 1D- and MD-LSTM Networks.
J. Signal Process. Syst., 2021

A Novel DRAM Architecture for Improved Bandwidth Utilization and Latency Reduction Using Dual-Page Operation.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

iDocChip: A Configurable Hardware Accelerator for an End-to-End Historical Document Image Processing.
J. Imaging, 2021

iDocChip: A Configurable Hardware Architecture for Historical Document Image Processing.
Int. J. Parallel Program., 2021

Longevity of Commodity DRAMs in Harsh Environments Through Thermoelectric Cooling.
IEEE Access, 2021

Embedded Face Recognition for Personalized Services in the Assistive Robotics.
Proceedings of the Machine Learning and Principles and Practice of Knowledge Discovery in Databases, 2021

Online Working Set Change Detection with Constant Complexity: The Cornerstone for Memory Management Algorithms in Scalable Systems.
Proceedings of the MEMSYS 2021: The International Symposium on Memory Systems, Washington, USA, September 27, 2021

An LPDDR4 Safety Model for Automotive Applications.
Proceedings of the MEMSYS 2021: The International Symposium on Memory Systems, Washington, USA, September 27, 2021

Exploration of DDR5 with the Open-Source Simulator DRAMSys.
Proceedings of the Methods and Description Languages for Modelling and Verification of Circuits and Systems, 2021

Forward-Error-Correction for Beyond-5G Ultra-High Throughput Communications.
Proceedings of the 11th International Symposium on Topics in Coding, 2021

Towards Fully Pipelined Decoding of Spatially Coupled Serially Concatenated Codes.
Proceedings of the 11th International Symposium on Topics in Coding, 2021

Energy Efficient FEC Decoders.
Proceedings of the 11th International Symposium on Topics in Coding, 2021

Exploiting Resiliency for Kernel-Wise CNN Approximation Enabled by Adaptive Hardware Design.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

On the Energy Costs of Post-Quantum KEMs in TLS-based Low-Power Secure IoT.
Proceedings of the IoTDI '21: International Conference on Internet-of-Things Design and Implementation, 2021

ADMM-Based ML Decoding: from Theory to Practice.
Proceedings of the IEEE International Conference on Acoustics, 2021

HALF: Holistic Auto Machine Learning for FPGAs.
Proceedings of the 31st International Conference on Field-Programmable Logic and Applications, 2021

A 336 Gbit/s Full-Parallel Window Decoder for Spatially Coupled LDPC Codes.
Proceedings of the Joint European Conference on Networks and Communications & 6G Summit, 2021

QuantYOLO: A High-Throughput and Power-Efficient Object Detection Network for Resource and Power Constrained UAVs.
Proceedings of the 2021 Digital Image Computing: Techniques and Applications, 2021

Burnt Forest Estimation from Sentinel-2 Imagery of Australia using Unsupervised Deep Learning.
Proceedings of the 2021 Digital Image Computing: Techniques and Applications, 2021

The Dynamic Random Access Memory Challenge in Embedded Computing Systems.
Proceedings of the A Journey of Embedded and Cyber-Physical Systems, 2021

A Novel DRAM-Based Process-in-Memory Architecture and its Implementation for CNNs.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Adaptable Approximation Based on Bit Decomposition for Deep Neural Network Accelerators.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

2020
eBrainII: a 3 kW Realtime Custom 3D DRAM Integrated ASIC Implementation of a Biologically Plausible Model of a Human Scale Cortex.
J. Signal Process. Syst., 2020

Efficient Hardware Architectures for 1D- and MD-LSTM Networks.
J. Signal Process. Syst., 2020

A Reduced-Complexity Projection Algorithm for ADMM-Based LP Decoding.
IEEE Trans. Inf. Theory, 2020

Real-Time Energy Efficient Hand Pose Estimation: A Case Study.
Sensors, 2020

Harvester-aware transient computing: Utilizing the mechanical inertia of kinetic energy harvesters for a proactive frequency-based power loss detection.
Integr., 2020

The gem5 Simulator: Version 20.0+.
CoRR, 2020

Advanced Hardware Architectures for Turbo Code Decoding Beyond 100 Gb/s.
Proceedings of the 2020 IEEE Wireless Communications and Networking Conference, 2020

A Ferroelectric FET Based In-memory Architecture for Multi-Precision Neural Networks.
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020

DRAMSys4.0: A Fast and Cycle-Accurate SystemC/TLM-Based DRAM Simulator.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2020

FERA: A Framework for Critical Assessment of Execution Monitoring Based Approaches for Finding Concurrency Bugs.
Proceedings of the Intelligent Computing, 2020

System simulation with PULP virtual platform and SystemC.
Proceedings of the RAPIDO 2020 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2020

Low-complexity Computational Units for the Local-SOVA Decoding Algorithm.
Proceedings of the 31st IEEE Annual International Symposium on Personal, 2020

A 506Gbit/s Polar Successive Cancellation List Decoder with CRC.
Proceedings of the 31st IEEE Annual International Symposium on Personal, 2020

Efficient Generation of Application Specific Memory Controllers.
Proceedings of the MEMSYS 2020: The International Symposium on Memory Systems, 2020

Multi-Valued Physical Unclonable Functions based on Dynamic Random Access Memory.
Proceedings of the MEMSYS 2020: The International Symposium on Memory Systems, 2020

An Energy Efficient 3D-Heterogeneous Main Memory Architecture for Mobile Devices.
Proceedings of the MEMSYS 2020: The International Symposium on Memory Systems, 2020

A Low Power In-DRAM Architecture for Quantized CNNs using Fast Winograd Convolutions.
Proceedings of the MEMSYS 2020: The International Symposium on Memory Systems, 2020

Access-Aware Per-Bank DRAM Refresh for Reduced DRAM Refresh Overhead.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Fully Pipelined Iteration Unrolled Decoders the Road to TB/S Turbo Decoding.
Proceedings of the 2020 IEEE International Conference on Acoustics, 2020

When Massive GPU Parallelism Ain't Enough: A Novel Hardware Architecture of 2D-LSTM Neural Network.
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020

TLS-Level Security for Low Power Industrial IoT Network Infrastructures.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Fast and Accurate DRAM Simulation: Can we Further Accelerate it?
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Analysis and Optimization of TLS-based Security Mechanisms for Low Power IoT Systems.
Proceedings of the 20th IEEE/ACM International Symposium on Cluster, 2020

Efficient FeFET Crossbar Accelerator for Binary Neural Networks.
Proceedings of the 31st IEEE International Conference on Application-specific Systems, 2020

2019
eBrainII: A 3 kW Realtime Custom 3D DRAM integrated ASIC implementation of a Biologically Plausible Model of a Human Scale Cortex.
CoRR, 2019

Wednesday Keynote: The Memory Wall: Challenges and Solutions.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

A Lean, Low Power, Low Latency DRAM Memory Controller for Transprecision Computing.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019

RRAMSpec: A Design Space Exploration Framework for High Density Resistive RAM.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019

Channel Models for Physical Unclonable Functions based on DRAM Retention Measurements.
Proceedings of the XVI International Symposium "Problems of Redundancy in Information and Control Systems", 2019

iDocChip - A Configurable Hardware Architecture for Historical Document Image Processing: Text Line Extraction.
Proceedings of the 2019 International Conference on ReConFigurable Computing and FPGAs, 2019

Adaptive Transient Computing for Power-Neutral Embedded Devices.
Proceedings of the 29th International Symposium on Power and Timing Modeling, 2019

Fast validation of DRAM protocols with timed petri nets.
Proceedings of the International Symposium on Memory Systems, 2019

An In-DRAM Neural Network Processing Engine.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Rapid Identification of Shared Memory in Multithreaded Embedded Systems with Static Scheduling.
Proceedings of the 48th International Conference on Parallel Processing, 2019

Polar Code Decoder Framework.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Speculative Temporal Decoupling Using fork().
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Low-Latency CRC Calculation in Turbo-Code Decoding.
Int. J. Wirel. Inf. Networks, 2018

A Memory Centric Architecture of the Link Assessment Algorithm in Large Graphs.
IEEE Des. Test, 2018

BOSMI: a framework for non-intrusive monitoring and testing of embedded multithreaded software on the logical level.
Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, 2018

A Framework for Non-intrusive Trace-driven Simulation of Manycore Architectures with Dynamic Tracing Configuration.
Proceedings of the Runtime Verification - 18th International Conference, 2018

Sparsity in Deep Neural Networks - An Empirical Investigation with TensorQuant.
Proceedings of the ECML PKDD 2018 Workshops, 2018

Efficient coding scheme for DDR4 memory subsystems.
Proceedings of the International Symposium on Memory Systems, 2018

Driving into the memory wall: the role of memory for advanced driver assistance systems and autonomous driving.
Proceedings of the International Symposium on Memory Systems, 2018

25 Years of Turbo Codes: From Mb/s to beyond 100 Gb/s.
Proceedings of the 10th IEEE International Symposium on Turbo Codes & Iterative Information Processing, 2018

When Channel Coding Hits the Implementation Wall.
Proceedings of the 10th IEEE International Symposium on Turbo Codes & Iterative Information Processing, 2018

A Low-Complexity Projection Algorithm for ADMM-Based LP Decoding.
Proceedings of the 10th IEEE International Symposium on Turbo Codes & Iterative Information Processing, 2018

The Role of Memories in Transprecision Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A Reconfigurable Accelerator for Morphological Operations.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

Improved Maximum-Likelihood Decoding Using Sparse Parity-Check Matrices.
Proceedings of the 25th International Conference on Telecommunications, 2018

FINN-L: Library Extensions and Design Trade-Off Analysis for Variable Precision LSTM Networks on FPGAs.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018

iDocChip: A Configurable Hardware Architecture for Historical Document Image Processing: Percentile Based Binarization.
Proceedings of the ACM Symposium on Document Engineering 2018, 2018

An analysis on retention error behavior and power consumption of recent DDR4 DRAMs.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

The transprecision computing paradigm: Concept, design, and applications.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Improving the error behavior of DRAM by exploiting its Z-channel property.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
A Customized Many-Core Hardware Acceleration Platform for Short Read Mapping Problems Using Distributed Memory Interface with 3D-Stacked Architecture.
J. Signal Process. Syst., 2017

DRAMSpec: A High-Level DRAM Timing, Power and Area Exploration Tool.
Int. J. Parallel Program., 2017

3D-Stacked Many-Core Architecture for Biological Sequence Analysis Problems.
Int. J. Parallel Program., 2017

A Platform to Analyze DDR3 DRAM's Power and Retention Time.
IEEE Des. Test, 2017

The memory challenge in computing systems: A survey.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

Advanced wireless digital baseband signal processing beyond 100 Gbit/s.
Proceedings of the 2017 IEEE International Workshop on Signal Processing Systems, 2017

Real-Time Financial Risk Measurement of Dynamic Complex Portfolios with Python and PyOpenCL.
Proceedings of the 7th Workshop on Python for High-Performance and Scientific Computing, 2017

TensorQuant: A Simulation Toolbox for Deep Neural Network Quantization.
Proceedings of the Machine Learning on HPC Environments, 2017

System simulation with gem5 and SystemC: The keystone for full interoperability.
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017

Supervised testing of concurrent software in embedded systems.
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017

A new state model for DRAMs using Petri Nets.
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017

A Bank-Wise DRAM Power Model for System Simulations.
Proceedings of the 9th Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2017

Using run-time reverse-engineering to optimize DRAM refresh.
Proceedings of the International Symposium on Memory Systems, 2017

Integrating DRAM power-down modes in gem5 and quantifying their impact.
Proceedings of the International Symposium on Memory Systems, 2017

Near Real-Time Risk Simulation of Complex Portfolios on Heterogeneous Computing Systems with OpenCL.
Proceedings of the 5th International Workshop on OpenCL, 2017

Exploiting Decoupled OpenCL Work-Items with Data Dependencies on FPGAs: A Case Study.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

Enhanced decoding for high-rate LTE Turbo-Codes with short block lengths.
Proceedings of the 2017 IEEE International Conference on Communications Workshops, 2017

An advanced embedded architecture for connected component analysis in industrial applications.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Hardware architecture of Bidirectional Long Short-Term Memory Neural Network for Optical Character Recognition.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017


A Wearable Flexible Sensor Network Platform for the Analysis of Different Sport Movements.
Proceedings of the Advances in Human Factors in Wearable Technologies and Game Design, 2017

Bit-level pipelining for highly parallel turbo-code decoders: A critical assessment.
Proceedings of the IEEE AFRICON 2017, Cape Town, South Africa, September 18-20, 2017, 2017

2016
Increasing sampling efficiency for the fixed degree sequence model with phase transitions.
Soc. Netw. Anal. Min., 2016

A cross layer approach for efficient thermal management in 3D stacked SoCs.
Microelectron. Reliab., 2016

Precision-tuning and hybrid pricer for closed-form solution-based Heston calibration.
Concurr. Comput. Pract. Exp., 2016

A New Architecture for High Speed, Low Latency NB-LDPC Check Node Processing for GF(256).
Proceedings of the IEEE 83rd Vehicular Technology Conference, 2016

Exploring system performance using elastic traces: Fast, accurate and portable.
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016

A new bank sensitive DRAMPower model for efficient design space exploration.
Proceedings of the 26th International Workshop on Power and Timing Modeling, 2016

Reverse Engineering of DRAMs: Row Hammer with Crosshair.
Proceedings of the Second International Symposium on Memory Systems, 2016

ConGen: An Application Specific DRAM Memory Controller Generator.
Proceedings of the Second International Symposium on Memory Systems, 2016

On the applicability of trellis compression to Turbo-Code decoder hardware architectures.
Proceedings of the 9th International Symposium on Turbo Codes and Iterative Information Processing, 2016

Advanced iterative channel coding schemes: When Shannon meets Moore.
Proceedings of the 9th International Symposium on Turbo Codes and Iterative Information Processing, 2016

ADMM versus simplex algorithm for LP decoding.
Proceedings of the 9th International Symposium on Turbo Codes and Iterative Information Processing, 2016

Precise synchronization time stamp generation for Bluetooth low energy.
Proceedings of the 2016 IEEE SENSORS, Orlando, FL, USA, October 30 - November 3, 2016, 2016

IMU-based determination of fatigue during long sprint.
Proceedings of the 2016 ACM International Joint Conference on Pervasive and Ubiquitous Computing and Proceedings of the 2016 ACM International Symposium on Wearable Computers, 2016

Saturated min-sum decoding: An "afterburner" for LDPC decoder hardware.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Error resilience and energy efficiency: An LDPC decoder design study.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Invited - Approximate computing with partially unreliable dynamic random access memory - approximate DRAM.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Efficient reliability management in SoCs - an approximate DRAM perspective.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Application-aware cross-layer reliability analysis and optimization.
it Inf. Technol., 2015

DRAMSys: A Flexible DRAM Subsystem Design Space Exploration Framework.
IPSJ Trans. Syst. LSI Des. Methodol., 2015

Optimization strategies for portable code for Monte Carlo-based value-at-risk systems.
Proceedings of the 8th Workshop on High Performance Computational Finance, 2015

A high-level DRAM timing, power and area exploration tool.
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015

Exploiting the brownian bridge technique to improve longstaff-schwartz american option pricing on FPGA systems.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2015

Latency reduction for LTE/LTE-A turbo-code decoders by on-the-fly calculation of CRC.
Proceedings of the 26th IEEE Annual International Symposium on Personal, 2015

A new architecture for high throughput, low latency NB-LDPC check node processing.
Proceedings of the 26th IEEE Annual International Symposium on Personal, 2015

Omitting Refresh: A Case Study for Commodity and Wide I/O DRAMs.
Proceedings of the 2015 International Symposium on Memory Systems, 2015

Thermal Aspects and High-Level Explorations of 3D Stacked DRAMs.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

A Custom Computing System for Finding Similarties in Complex Networks.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Syndrome based check node processing of high order NB-LDPC decoders.
Proceedings of the 22nd International Conference on Telecommunications, 2015

Retention time measurements and modelling of bit error rates of WIDE I/O DRAM in MPSoCs.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Reverse longstaff-schwartz american option pricing on hybrid CPU/FPGA systems.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Exploiting Phase Transitions for the Efficient Sampling of the Fixed Degree Sequence Model.
Proceedings of the 2015 IEEE/ACM International Conference on Advances in Social Networks Analysis and Mining, 2015

2014
A Cross-Layer Reliability Design Methodology for Efficient, Dependable Wireless Receivers.
ACM Trans. Embed. Comput. Syst., 2014

Resilience Articulation Point (RAP): Cross-layer dependability modeling for nanometer system-on-chip resilience.
Microelectron. Reliab., 2014

Reliability analysis of MIMO channel preprocessing by fault injection.
Proceedings of the 2014 IEEE International Conference on Wireless for Space and Extreme Environments, 2014

Optimized active and power-down mode refresh control in 3D-DRAMs.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014

A systematic methodology for analyzing closed-form Heston pricer regarding their accuracy and runtime.
Proceedings of the 7th Workshop on High Performance Computational Finance, 2014

A simplex algorithm for LP decoding hardware.
Proceedings of the 25th IEEE Annual International Symposium on Personal, 2014

Advanced hardware architecture for soft decoding Reed-Solomon codes.
Proceedings of the 8th International Symposium on Turbo Codes and Iterative Information Processing, 2014

A Wearable Inertial Sensor Unit for Jump Diagnosis in Multiple Athletes.
Proceedings of the 2nd International Congress on Sports Sciences Research and Technology Support, 2014

Monitoring household activities and user location with a cheap, unobtrusive thermal sensor array.
Proceedings of the 2014 ACM International Joint Conference on Pervasive and Ubiquitous Computing, 2014

HyPER: A runtime reconfigurable architecture for monte carlo option pricing in the Heston model.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

A new architecture for minimum mean square error sorted QR decomposition for MIMO wireless communication systems.
Proceedings of the 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2014

Hardware implementation of a Reed-Solomon soft decoder based on information set decoding.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Connecting different worlds - Technology abstraction for reliability-aware design and Test.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Energy optimization in 3D MPSoCs with Wide-I/O DRAM using temperature variation aware bank-wise refresh.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Technology transfer towards Horizon 2020.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Exploiting expendable process-margins in DRAMs for run-time performance optimization.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Feedforward carrier synchronization for pilotless bursts of DVB-RCS2.
Proceedings of the 9th International Symposium on Communication Systems, 2014

Mixed precision multilevel Monte Carlo on hybrid computing systems.
Proceedings of the IEEE Conference on Computational Intelligence for Financial Engineering & Economics, 2014

2013
Exploration and Optimization of 3-D Integrated DRAM Subsystems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Performance evaluation of ambient services by combining robotic frameworks and a smart environment platform.
Robotics Auton. Syst., 2013

A Cross-Layer Technology-Based Study of How Memory Errors Impact System Resilience.
IEEE Micro, 2013

Cross-Layer Error Resilience and Its Application to Wireless Communication Systems.
J. Low Power Electron., 2013

A new dimension of parallelism in ultra high throughput LDPC decoding.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2013

Loopy - An open-source TCP/IP rapid prototyping and validation framework.
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2013

TLM modelling of 3D stacked wide I/O DRAM subsystems: a virtual platform for memory controller design space exploration.
Proceedings of the 2013 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2013

ASIC implementation of a modified QR decomposition for tree search based MIMO detection.
Proceedings of the 4th IEEE Latin American Symposium on Circuits and Systems, 2013

Activity recognition and nutrition monitoring in every day situations with a textile capacitive neckband.
Proceedings of the 2013 ACM International Joint Conference on Pervasive and Ubiquitous Computing, 2013

Energy and performance exploration of accelerator coherency port using Xilinx ZYNQ.
Proceedings of the 10th FPGAworld Conference, 2013

A multi-level Monte Carlo FPGA accelerator for option pricing in the Heston model.
Proceedings of the Design, Automation and Test in Europe, 2013

System and circuit level power modeling of energy-efficient 3D-stacked wide I/O DRAMs.
Proceedings of the Design, Automation and Test in Europe, 2013

Reliable on-chip systems in the nano-era: lessons learnt and future trends.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Towards variation-aware system-level power estimation of DRAMs: an empirical approach.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Code-aided synchronization with QPSK, 8-PSK and 16-QAM modulations.
Proceedings of the 19th Asia-Pacific Conference on Communications, 2013

Hardware implementations of Gaussian elimination over GF(2) for channel decoding algorithms.
Proceedings of the AFRICON 2013, Pointe aux Piments, Mauritius, September 9-12, 2013, 2013

2012
Design Space of Flexible Multigigabit LDPC Decoders.
VLSI Design, 2012

A Hardware Efficient Random Number Generator for Nonuniform Distributions with Arbitrary Precision.
Int. J. Reconfigurable Comput., 2012

Reliability study on system memories of an iterative MIMO-BICM system.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

FPGA-based rapid prototyping platform for MIMO-BICM design space exploration.
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2012

A 2.15GBit/s turbo code decoder for LTE advanced base station applications.
Proceedings of the 7th International Symposium on Turbo Codes and Iterative Information Processing, 2012

A High-Performance FPGA-Based Implementation of the LZSS Compression Algorithm.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012

ASIC design of a Gbit/s LDPC decoder for iterative MIMO systems.
Proceedings of the International Conference on Computing, Networking and Communications, 2012

Combining robotic frameworks with a smart environment framework: MCA2/SimVis3D and TinySEP.
Proceedings of the 2012 ACM Conference on Ubiquitous Computing, 2012

Dependable embedded systems: The German research foundation DFG priority program SPP 1500.
Proceedings of the 17th IEEE European Test Symposium, 2012

A Parallel Adaptive Range Coding Compressor: Algorithm, FPGA Prototype, Evaluation.
Proceedings of the 2012 Data Compression Conference, Snowbird, UT, USA, April 10-12, 2012, 2012

An energy efficient DRAM subsystem for 3D integrated SoCs.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

DRAM selection and configuration for real-time mobile systems.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

A Case Study on Error Resilient Architectures for Wireless Communication.
Proceedings of the Architecture of Computing Systems - ARCS 2012 - 25th International Conference, Munich, Germany, February 28, 2012

2011
On Complexity, Energy- and Implementation-Efficiency of Channel Decoders.
IEEE Trans. Commun., 2011

A monolithic LTE interleaver generator for highly parallel SMAP decoders.
Proceedings of the 2011 Wireless Telecommunications Symposium, 2011

Algorithmic complexity in the heston model: an implementation view.
Proceedings of the WHPCF'11, 2011

Validation of channel decoding ASIPs a case study.
Proceedings of the 22nd IEEE International Symposium on Rapid System Prototyping, 2011

An Energy Efficient FPGA Accelerator for Monte Carlo Option Pricing with the Heston Model.
Proceedings of the 2011 International Conference on Reconfigurable Computing and FPGAs, 2011

Energy Efficient Acceleration and Evaluation of Financial Computations towards Real-Time Pricing.
Proceedings of the Knowledge-Based and Intelligent Information and Engineering Systems, 2011

A scalable multi-ASIP architecture for standard compliant trellis decoding.
Proceedings of the International SoC Design Conference, 2011

Bringing C++ productivity to VHDL world: From language definition to a case study.
Proceedings of the 2011 Forum on Specification & Design Languages, 2011

Design space exploration for 3D-stacked DRAMs.
Proceedings of the Design, Automation and Test in Europe, 2011


Reliability: A Cross-Disciplinary and Cross-Layer Approach.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
A separation algorithm for improved LP-decoding of linear block codes.
IEEE Trans. Inf. Theory, 2010

Implementation comparisons of the QR decomposition for MIMO detection.
Proceedings of the 23rd Annual Symposium on Integrated Circuits and Systems Design, 2010

A New Hardware Efficient Inversion Based Random Number Generator for Non-uniform Distributions.
Proceedings of the ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, 2010

Low-complexity iteration control for MIMO-BICM systems.
Proceedings of the IEEE 21st International Symposium on Personal, 2010

AmICA - A Flexible, Compact, Easy-to-Program and Low-Power WSN Platform.
Proceedings of the Mobile and Ubiquitous Systems: Computing, Networking, and Services, 2010

A 477mW NoC-based digital baseband for MIMO 4G SDR.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

Fully integrated UWB impulse transmitter and 402-to-405MHz super-regenerative receiver for medical implant devices.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Complete Verification of Weakly Programmable IPs against Their Operational ISA Model.
Proceedings of the 2010 Forum on specification & Design Languages, 2010

RF spectrum sensing technique for cognitive UWB radio network.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

A rapid prototyping system for error-resilient multi-processor systems-on-chip.
Proceedings of the Design, Automation and Test in Europe, 2010

A 150Mbit/s 3GPP LTE Turbo code decoder.
Proceedings of the Design, Automation and Test in Europe, 2010

FlexiChaP: A Dynamically Reconfigurable ASIP for Channel Decoding for Future Mobile Systems.
Proceedings of the Dynamically Reconfigurable Systems - Architectures, 2010

2009
Energy simulation of embedded XScale systems with XEEMU.
J. Embed. Comput., 2009

DRAM power management and energy consumption: a critical assessment.
Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, 2009

Valid inequalities for binary linear codes.
Proceedings of the IEEE International Symposium on Information Theory, 2009

A novel LDPC decoder for DVB-S2 IP.
Proceedings of the Design, Automation and Test in Europe, 2009

Error correction in single-hop wireless sensor networks - A case study.
Proceedings of the Design, Automation and Test in Europe, 2009

2008
A Reconfigurable ASIP for Convolutional and Turbo Decoding in an SDR Environment.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Designing efficient irregular networks for heterogeneous systems-on-chip.
J. Syst. Archit., 2008

Macro Interleaver Design for Bit Interleaved Coded Modulation with Low-Density Parity-Check Codes.
Proceedings of the 67th IEEE Vehicular Technology Conference, 2008

Proving Functional Correctness of Weakly Programmable IPs - A Case Study with Formal Property Checking.
Proceedings of the IEEE Symposium on Application Specific Processors, 2008

Fully integrated self-quenched super-regenerative UWB impulse detector.
Proceedings of the Third International Symposium on Wireless Pervasive Computing, 2008

3.1-to-7GHz UWB impulse radio transceiver front-end based on statistical correlation technique.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Application-specific reconfigurable processors.
Proceedings of the FPL 2008, 2008

Super-regenerative UWB impulse detector with synchronized quenching mechanism.
Proceedings of the ESSCIRC 2008, 2008

A Reconfigurable Application Specific Instruction Set Processor for Convolutional and Turbo Decoding in a SDR Environment.
Proceedings of the Design, Automation and Test in Europe, 2008

A Case Study in Reliability-Aware Design: A Resilient LDPC Code Decoder.
Proceedings of the Design, Automation and Test in Europe, 2008


2007
A Survey on LDPC Codes and Decoders for OFDM-based UWB Systems.
Proceedings of the 65th IEEE Vehicular Technology Conference, 2007

A Reliability-Aware LDPC Code Decoding Algorithm.
Proceedings of the 65th IEEE Vehicular Technology Conference, 2007

Implementation Issues of Turbo Synchronization with Duo-Binary Turbo Decoding.
Proceedings of the IEEE 18th International Symposium on Personal, 2007

XEEMU: An Improved XScale Power Simulator.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2007

Evaluation of High Throughput Turbo-Decoder Architectures.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Low complexity LDPC code decoders for next generation standards.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
Fast convergence algorithm for LDPC Codes.
Proceedings of the 63rd IEEE Vehicular Technology Conference, 2006

A Reconfigurable Applcation Specific Instruction Set Processor for Viterbi and Log-MAP Decoding.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2006

A Reconfigurable Multi-Processor Platform for Convolutional and Turbo Decoding.
Proceedings of the 2nd International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2006

A Synthesizable IP Core for WIMAX 802.16E LDPC Code Decoding.
Proceedings of the IEEE 17th International Symposium on Personal, 2006

Advanced Channel Decoding Algorithms and Their Implementation for Future Communication Systems.
Proceedings of the 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2006

Disclosing the LDPC code decoder design space.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

A Reconfigurable Outer Modem Platform for Future Communications Systems.
Proceedings of the Dynamically Reconfigurable Architectures, 02.04. - 07.04.2006, 2006

2005
A Scalable System Architecture for High-Throughput Turbo-Decoders.
J. VLSI Signal Process., 2005

Architecture-driven voltage scaling for high-throughput turbo-decoders.
J. Embed. Comput., 2005

Network-on-chip-centric approach to interleaving in high throughput channel decoders.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Energieminimierung von Basisbandsignalverarbeitungsalgorithmen auf programmierbaren Plattformen.
Proceedings of the 35. Jahrestagung der Gesellschaft für Informatik, 2005

A Synthesizable IP Core for DVB-S2 LDPC Code Decoding.
Proceedings of the 2005 Design, 2005

Power Optimization in advanced Channel Coding.
Proceedings of the Power-aware Computing Systems, 3.-8. April 2005, 2005

2004
A multi-standard channel-decoder for base-station applications.
Proceedings of the 17th Annual Symposium on Integrated Circuits and Systems Design, 2004

FPGA implementation of parallel turbo-decoders.
Proceedings of the 17th Annual Symposium on Integrated Circuits and Systems Design, 2004

Energy- and Area-Efficient Deinterleaving Architecture for High-Throughput Wireless Applications.
Proceedings of the Integrated Circuit and System Design, 2004

Joint graph-decoder design of IRA codes on scalable architectures [LDPC codes].
Proceedings of the 2004 IEEE International Conference on Acoustics, 2004

Channel Decoder Architecture for 3G Mobile Wireless Terminals.
Proceedings of the 2004 Design, 2004

Design methodology for IRA codes.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
Vergleich von Hardware- und Software-Implementierungen in der digitalen Kommunikation am Beispiel der Kanalcodierung (Hardware-/Software Trade-Offs in Digital Communication Systems with Special Emphasis on Channel-Coding).
it Inf. Technol., 2003

System-on-Chip - Ein Sonderheft anlässlich des 60. Geburtstages von Prof. Dr. Dr. h.c. mult. Manfred Glesner.
it Inf. Technol., 2003

Architecture-Driven Voltage Scaling for High-Throughput Turbo-Decoders.
Proceedings of the Integrated Circuit and System Design, 2003

Concurrent interleaving architectures for high-throughput channel coding.
Proceedings of the 2003 IEEE International Conference on Acoustics, 2003

VLSI-implementation issues of turbo trellis-coded modulation.
Proceedings of the 2003 IEEE International Conference on Acoustics, 2003

Communication Centric Architectures for Turbo-Decoding on Embedded Multiprocessors .
Proceedings of the 2003 Design, 2003

2002
Combined Turbo and Convolutional Decoder Architecture for UMTS Wireless Applications.
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002

Enabling high-speed turbo-decoding through concurrent interleaving.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Optimized concurrent interleaving architecture for high-throughput turbo-decoding.
Proceedings of the 2002 9th IEEE International Conference on Electronics, 2002

Evaluation of algorithm optimizations for low-power Turbo-Decoder implementations.
Proceedings of the IEEE International Conference on Acoustics, 2002

Hardware/Software Trade-Offs for Advanced 3G Channel Coding.
Proceedings of the 2002 Design, 2002

2001
Turbo-decoder quantization for UMTS.
IEEE Commun. Lett., 2001

Embedded DRAM Development: Technology, Physical Design, and Application Issues.
IEEE Des. Test Comput., 2001

Vlsi Architectures For High-Speed Map Decoders.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Embedded Memories in System Design: Technology, Application, Design and Tools.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Design of low-power high-speed maximum a priori decoder architectures.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

Low power implementation of a turbo-decoder on programmable architectures.
Proceedings of ASP-DAC 2001, 2001

2000
Turbo-decoding without SNR estimation.
IEEE Commun. Lett., 2000

Automating RT-Level Operand Isolation to Minimize Power Consumption in Datapaths.
Proceedings of the 2000 Design, 2000

1998
Issues in Embedded DRAM Development and Applications.
Proceedings of the 11th International Symposium on System Synthesis, 1998

Embedded DRAM Architectural Trade-Offs.
Proceedings of the 1998 Design, 1998

1997
An efficient ILP-based scheduling algorithm for control-dominated VHDL descriptions.
ACM Trans. Design Autom. Electr. Syst., 1997

1995
Advanced Method for Industry Related Education with an FPGA Design Self-Learning Kit.
Proceedings of the Field-Programmable Logic and Applications, 5th International Workshop, 1995

1994
The Hyeti Defect Tolerant Microprocessor: A Practical Experiment and its Cost-Effectiveness Analysis.
IEEE Trans. Computers, 1994

Efficient Calculation of Boolean Relations for Multi-Level Logic Optimization.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

Scheduling of behavioral VHDL by retiming techniques.
Proceedings of the Proceedings EURO-DAC'94, 1994

1993
The Siemens high-level synthesis system CALLAS.
IEEE Trans. Very Large Scale Integr. Syst., 1993

Estimating lower hardware bounds in high-level synthesis.
Proceedings of the VLSI 93, 1993

Synthesis of complex VHDL operators.
Proceedings of the European Design Automation Conference 1993, 1993

1992
Data Part Optimizations in the CALLAS Synthesis Environment.
Proceedings of the Synthesis for Control Dominated Circuits, 1992

High-level synthesis in a rapid-prototype environment for mechatronic systems.
Proceedings of the conference on European design automation, 1992

1991
A New Approach to Multiplexer Minimisation in the CALLAS Synthesis Environment.
Proceedings of the VLSI 91, 1991

A VLSI System Design for the Control of High Performance Combustion Engines.
Proceedings of the VLSI 91, 1991

Verifikation mikroelektronischer Systeme zur Prozeßsteuerung durch schnelle Prototypenrealisierung.
Proceedings of the 7. Symposium Simulationstechnik: Fortschritte in der Simulationstechnik, 1991

RAMSES-a rapid prototyping environment for embedded control applications.
Proceedings of the Second International Workshop on Rapid System Prototyping, 1991

A new approach to timing driven partitioning of combinational logic.
Proceedings of the First Great Lakes Symposium on VLSI, 1991

HADES-high-level architecture development and exploration system.
Proceedings of the First Great Lakes Symposium on VLSI, 1991

1990
Timing Driven Partitioning of Combinational Logic.
Proceedings of the Rechnergestützter Entwurf und Architektur mikroelektronischer Systeme, 1990

1989
Effiziente Verfahren für den physikalischen Entwurf von MOS-VLSI-Schaltungen und ihre Anwendung beim Entwurf eines defekttoleranten Mikroprozessors.
PhD thesis, 1989

1988
A Defect-Tolerant and Fully Testable PLA.
Proceedings of the 25th ACM/IEEE Conference on Design Automation, 1988

1987
The ALGIC Silicon Compiler System: Implementation, Design Experience and Results.
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987

1986
Statische und dynamische CMOS-Schaltungstechniken im Vergleich.
it Inf. Technol., 1986


  Loading...