Zahra Shirmohammadi

Orcid: 0000-0003-2607-4940

According to our database1, Zahra Shirmohammadi authored at least 30 papers between 2013 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
FASR-LED: reducing energy consumption in wireless body area networks by an efficient smart method.
J. Supercomput., January, 2024

2023
Social-aware energy management in D2D communications.
Comput. Networks, December, 2023

RLS<sup>2</sup>: An energy efficient reinforcement learning- based sleep scheduling for energy harvesting WBANs.
Comput. Networks, June, 2023

Correction to: ReNo: novel switch architecture for reliability improvement of NoCs.
J. Supercomput., March, 2023

ReNo: novel switch architecture for reliability improvement of NoCs.
J. Supercomput., 2023

SBSN: Harvesting Stable Body Sensor Node by Providing an Energy Efficient Adaptive Sampling Method.
Proceedings of the 28th International Computer Conference, Computer Society of Iran, 2023

2022
LETHOR: a thermal-aware proactive routing algorithm for 3D NoCs with less entrance to hot regions.
J. Supercomput., 2022

A Cluster-Based Energy-Aware Routing Algorithm for Wireless Sensor Networks.
Ad Hoc Sens. Wirel. Networks, 2022

SRCM: An Efficient Method for Energy Consumption Reduction in Wireless Body Area Networks based on Data Similarity.
Ad Hoc Sens. Wirel. Networks, 2022

JCI-CAC: An Efficient Crosstalk Avoidance Code Considering Joint Capacitive and Inductive Effects.
IEEE Access, 2022

UP-Down OLC: New One-Lambda Crosstalk Avoidance Code Design Based on 5-Wire Model.
IEEE Access, 2022

2021
ST-CAC: a low-cost crosstalk avoidance coding mechanism based on three-valued numerical system.
J. Supercomput., 2021

On-Fly-TOD: an efficient mechanism for crosstalk fault reduction in WNoC.
J. Supercomput., 2021

A Numeral System Based Framework for Improved One-Lambda Crosstalk Avoidance Code Using Recursive Symmetry Formula.
J. Electron. Test., 2021

Deflection-Aware Routing Algorithm in Network on Chip against Soft Errors and Crosstalk Faults.
Proceedings of the IEEE International Conference on Networking, Architecture and Storage, 2021

2019
OP-Fibo: An efficient Forbidden Pattern Free CAC design.
Integr., 2019

3DCAM: A Low Overhead Crosstalk Avoidance Mechanism for TSV-Based 3D ICs.
CoRR, 2019

2018
An efficient and low power one-lambda crosstalk avoidance code design for network on chips.
Microprocess. Microsystems, 2018

WiP: Floating XY-YX: An Efficient Thermal Management Routing Algorithm for 3D NoCs.
Proceedings of the 2018 IEEE 16th Intl Conf on Dependable, 2018

2017
LAXY: A Location-Based Aging-Resilient Xy-Yx Routing Algorithm for Network on Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

An efficient numerical-based crosstalk avoidance codec design for NoCs.
Microprocess. Microsystems, 2017

3D-DyCAC: Dynamic numerical-based mechanism for reducing crosstalk faults in 3D ICs.
Proceedings of the 2017 IEEE International High Level Design Validation and Test Workshop, 2017

2016
On designing an efficient numerical-based forbidden pattern free crosstalk avoidance codec for reliable data transfer of NoCs.
Microelectron. Reliab., 2016

ACM: Accurate crosstalk modeling to predict channel delay in Network-on-Chips.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

3D-DPS: An Efficient 3D-CAC for Reliable Data Transfer in 3D ICs.
Proceedings of the 12th European Dependable Computing Conference, 2016

2015
S2AP: An efficient numerical-based crosstalk avoidance code for reliable data transfer of NoCs.
Proceedings of the 10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, 2015

A fault-tolerant and energy-aware mechanism for cluster-based routing algorithm of WSNs.
Proceedings of the IFIP/IEEE International Symposium on Integrated Network Management, 2015

Addressing NoC Reliability Through an Efficient Fibonacci-Based Crosstalk Avoidance Codec Design.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2015

PAM: A Packet Manipulation Mechanism for Mitigating Crosstalk Faults in NoCs.
Proceedings of the 15th IEEE International Conference on Computer and Information Technology, 2015

2013
Crosstalk avoidance coding for reliable data transmission of network on chips.
Proceedings of the 2013 International Symposium on System on Chip, 2013


  Loading...