Zhuoran Song

Orcid: 0000-0002-6494-4786

According to our database1, Zhuoran Song authored at least 34 papers between 2018 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
SPARK: Scalable and Precision-Aware Acceleration of Neural Networks via Efficient Encoding.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024

CMC: Video Transformer Acceleration via CODEC Assisted Matrix Condensing.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024

2023
A Point Cloud Video Recognition Acceleration Framework Based on Tempo-Spatial Information.
IEEE Trans. Parallel Distributed Syst., December, 2023

Impact of Photovoltaic Systems on Distribution Networks with Advances of Cloud, Grid and Cluster Computing.
Scalable Comput. Pract. Exp., November, 2023

Distribution Network Planning Method considering the Coupling of Transportation Network and Distribution Network.
Scalable Comput. Pract. Exp., September, 2023

Real-Time Video Recognition via Decoder-Assisted Neural Network Acceleration Framework.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., July, 2023

E<sup>2</sup>-VOR: An End-to-End En/Decoder Architecture for Efficient Video Object Recognition.
ACM Trans. Design Autom. Electr. Syst., January, 2023

PASGCN: An ReRAM-Based PIM Design for GCN With Adaptively Sparsified Graphs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

HyAcc: A Hybrid CAM-MAC RRAM-based Accelerator for Recommendation Model.
Proceedings of the 41st IEEE International Conference on Computer Design, 2023

RealArch: A Real-Time Scheduler for Mapping Multi-Tenant DNNs on Multi-Core Accelerators.
Proceedings of the 41st IEEE International Conference on Computer Design, 2023

DEQ: Dynamic Element-wise Quantization for Efficient Attention Architecture.
Proceedings of the 41st IEEE International Conference on Computer Design, 2023

ViTframe: Vision Transformer Acceleration via Informative Frame Selection for Video Recognition.
Proceedings of the 41st IEEE International Conference on Computer Design, 2023

PRADA: Point Cloud Recognition Acceleration via Dynamic Approximation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

AdaS: A Fast and Energy-Efficient CNN Accelerator Exploiting Bit-Sparsity.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

PRADA: Point Cloud Recognition Acceleration via Dynamic Approximation.
Proceedings of the ACM Turing Award Celebration Conference - China 2023, 2023

2022
DNN Training Acceleration via Exploring GPGPU Friendly Sparsity.
CoRR, 2022

CP-ViT: Cascade Vision Transformer Pruning via Progressive Sparsity Prediction.
CoRR, 2022

Ristretto: An Atomized Processing Architecture for Sparsity-Condensed Stream Flow in CNN.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

GCNTrain: A Unified and Efficient Accelerator for Graph Convolutional Neural Network Training.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

Gzippo: Highly-Compact Processing-in-Memory Graph Accelerator Alleviating Sparsity and Redundancy.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

DTQAtten: Leveraging Dynamic Token-based Quantization for Efficient Attention Architecture.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

E<sup>2</sup>SR: an end-to-end video CODEC assisted system for super resolution acceleration.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
ITT-RNA: Imperfection Tolerable Training for RRAM-Crossbar-Based Deep Neural-Network Accelerator.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

PIPArch: Programmable Image Processing Architecture Using Sliding Array.
Proceedings of the 2021 IEEE Intl Conf on Parallel & Distributed Processing with Applications, Big Data & Cloud Computing, Sustainable Computing & Communications, Social Computing & Networking (ISPA/BDCloud/SocialCom/SustainCom), New York City, NY, USA, September 30, 2021

ReRAM-Sharing: Fine-Grained Weight Sharing for ReRAM-Based Deep Neural Network Accelerator.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

2020
VR-DANN: Real-Time Video Recognition via Decoder-Assisted Neural Network Acceleration.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

DRQ: Dynamic Region-based Quantization for Deep Neural Network Acceleration.
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020

PRArch: Pattern-Based Reconfigurable Architecture for Deep Neural Network Acceleration.
Proceedings of the 22nd IEEE International Conference on High Performance Computing and Communications; 18th IEEE International Conference on Smart City; 6th IEEE International Conference on Data Science and Systems, 2020

ESNreram: An Energy-Efficient Sparse Neural Network Based on Resistive Random-Access Memory.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

GPNPU: Enabling Efficient Hardware-Based Direct Convolution with Multi-Precision Support in GPU Tensor Cores.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Energy-Efficient and Quality-Assured Approximate Computing Framework Using a Co-Training Method.
ACM Trans. Design Autom. Electr. Syst., 2019

Approximate Random Dropout for DNN training acceleration in GPGPU.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Approximate Random Dropout.
CoRR, 2018

Invocation-driven neural approximate computing with a multiclass-classifier and multiple approximators.
Proceedings of the International Conference on Computer-Aided Design, 2018


  Loading...