Ching-Yuan Yang

Orcid: 0000-0002-5335-3665

According to our database1, Ching-Yuan Yang authored at least 56 papers between 1998 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A 30-GHz Frequency Doubler Using a Current Folding Technique in 90-nm CMOS Technology.
Proceedings of the 12th International Conference on Modern Circuits and Systems Technologies, 2023

Behavior Simulation of SSC Generator With Adjustable Modulation Frequency and Depth.
Proceedings of the 20th International SoC Design Conference, 2023

Behavior Simulation of CDR for SSC System With a Compact Quarter-Rate Linear Phase Detector.
Proceedings of the 20th International SoC Design Conference, 2023

2022
A 5-GHz Sub-Sampling Phase-Locked Loop With Pulse-Width to Current Conversion.
Proceedings of the 2022 International Symposium on VLSI Design, Automation and Test, 2022

A 80-MHz 91.2 ppm/°C Self-Biased Frequency-Locked-Loop Timer.
Proceedings of the 19th International SoC Design Conference, 2022

2021
A Wide-Range Folded-Tuned Dual-DLL-Based Clock-Deskewing Circuit for Core-to-Core Links.
IEEE Trans. Very Large Scale Integr. Syst., 2021

A Self Synchronized-Switch Rectifier for Piezoelectric-Vibration Energy-Harvesting Systems.
Proceedings of the 18th International SoC Design Conference, 2021

A 2.7-Gb/s Multiplexed-DLL-Based CDR Circuit for ±10% Clock-Embedded Spread-Spectrum Modulation Depth.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2021

2020
A High-Efficiency Parallel-SSHI Rectifier for Piezoelectric Energy Harvesting.
Proceedings of the International SoC Design Conference, 2020

A Flipping Active-Diode Rectifier for Piezoelectric-Vibration Energy-Harvesting.
Proceedings of the European Conference on Circuit Theory and Design, 2020

2019
A 10-GHz Fast-Locked All-Digital Frequency Synthesizer with Frequency-Error Detection.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

A 2.7-Gb/s Clock and Data Recovery Circuit Based on D/PLL.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

A Spur-Suppression Technique for Frequency Synthesizer with Pulse-Width to Current Conversion.
Proceedings of the 2019 International Symposium on Intelligent Signal Processing and Communication Systems, 2019

Flipping Rectifiers for Piezoelectric Vibration Energy Harvesting.
Proceedings of the 2019 International SoC Design Conference, 2019

PLL-Based Clock and Data Recovery for SSC Embedded Clock Systems.
Proceedings of the 2019 International SoC Design Conference, 2019

Cascading Convolutional Neural Network for Steel Surface Defect Detection.
Proceedings of the Advances in Artificial Intelligence, Software and Systems Engineering, 2019

2017
Realization of buck converter with adaptive variable-frequency control.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

W2A: Analog-to-digital converters and low-noise amplifiers.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

A 1.5-Gb/s adaptive equalizer with periodically embedded clock encoding for intra-panel interfaces.
Proceedings of the International SoC Design Conference, 2017

A 1.5-Gb/s equalizer with adaptive swing controller for TFT-LCD driver.
Proceedings of the International SoC Design Conference, 2017

2016
A Reference Voltage Interpolation-Based Calibration Method for Flash ADCs.
IEEE Trans. Very Large Scale Integr. Syst., 2016

A 90-nm CMOS Frequency Synthesizer with a Tripler for 60-GHz Wireless Communication Systems.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

2015
A 2.5-Gb/s DLL-Based Burst-Mode Clock and Data Recovery Circuit With 4× Oversampling.
IEEE Trans. Very Large Scale Integr. Syst., 2015

A Fast-Locking All-Digital Phase-Locked Loop With Dynamic Loop Bandwidth Adjustment.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

2014
A high-speed low-power calibrated flash ADC.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

2013
A 3.4mW 2.3-to-2.7GHz frequency synthesizer in 0.18-µm CMOS.
Proceedings of the ESSCIRC 2013, 2013

2012
A 22-GHz low-power frequency synthesizer in 0.18-um CMOS.
Proceedings of the International Symposium on Communications and Information Technologies, 2012

A chip-to-chip clock-deskewing circuit for 3-D ICs.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

2011
A 0.5/0.8-V 9-GHz Frequency Synthesizer With Doubling Generation in 0.13-μm CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2011

A 5-GHz Direct Digital Frequency Synthesizer Using an Analog-Sine-Mapping Technique in 0.35- μ m SiGe BiCMOS.
IEEE J. Solid State Circuits, 2011

A low-power direct digital frequency synthesizer using an analogue-sine-conversion technique.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

2010
An embedded wide-range and high-resolution CLOCK jitter measurement circuit.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
A Delta-Sigma PLL-Based Spread-Spectrum Clock Generator With a Ditherless Fractional Topology.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

2008
A PWM and PAM Signaling Hybrid Technology for Serial-Link Transceivers.
IEEE Trans. Instrum. Meas., 2008

Acoustic-Resonance-Free High-Frequency Electronic Ballast for Metal Halide Lamps.
IEEE Trans. Ind. Electron., 2008

A 3.2-GHz Down-Spread Spectrum Clock Generator Using a Nested Fractional Topology.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Injection-Locked Clock Recovery Using a Multiplexed Oscillator for Half-Rate Data-Recovered Applications.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

A 2.5Gb/s oversampling clock and data recovery circuit with frequency calibration technique.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

A programmable duty cycle corrector based on delta-sigma modulated PWM mechanism.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

2007
A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation.
IEICE Trans. Electron., 2007

A Fast-Locking Agile Frequency Synthesizer for MIMO Dual-mode WiFi / WiMAX Applications.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

2006
High-Frequency Low-Noise Voltage-Controlled <i>LC</i>-Tank Oscillators Using a Tunable Inductor Technique.
IEICE Trans. Electron., 2006

A CMOS Clock and Data Recovery Circuit with a Half-Rate Three-State Phase Detector.
IEICE Trans. Electron., 2006

A low-noise microsensor amplifier with automatic gain control system.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A 1.8-Gb/s burst-mode clock and data recovery circuit with a 1/4-rate clock technique.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A frequency synthesizer realized by a transformer-based voltage-controlled oscillator for IEEE 802.11a/b/g channels.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A 3.125-GHz Limiting Amplifier for Optical Receiver System.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2006, 2006

A 6.5-GHz LC VCO with Integrated-Transformer Tuning.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2006, 2006

2005
A 0.18-µm CMOS 1-Gb/s serial link transceiver by using PWM and PAM techniques.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A high-frequency phase-compensation fractional-N frequency synthesizer.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

2002
A wide-range delay-locked loop with a fixed latency of one clock cycle.
IEEE J. Solid State Circuits, 2002

2001
A one-wire approach for skew-compensating clock distribution based on bidirectional techniques.
IEEE J. Solid State Circuits, 2001

2000
Fast-switching frequency synthesizer with a discriminator-aided phase detector.
IEEE J. Solid State Circuits, 2000

A 900-MHz 1-V CMOS frequency synthesizer.
IEEE J. Solid State Circuits, 2000

Clock-deskew buffer using a SAR-controlled delay-locked loop.
IEEE J. Solid State Circuits, 2000

1998
New dynamic flip-flops for high-speed dual-modulus prescaler.
IEEE J. Solid State Circuits, 1998


  Loading...