Chunyue Liu

According to our database1, Chunyue Liu authored at least 18 papers between 2005 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A methodology to evaluate gas supply reliability of natural gas pipeline network considering the effects of natural gas resources.
Reliab. Eng. Syst. Saf., October, 2023

2021
3D reconstruction of UAV remote sensing sequence image based on iterative constraint weighting.
Int. J. Inf. Commun. Technol., 2021

2013
Stream arbitration: Towards efficient bandwidth utilization for emerging on-chip interconnects.
ACM Trans. Archit. Code Optim., 2013

Accelerator-rich CMPs: From concept to real hardware.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

2012
Architecture Support for Customizable Domain-Specific Computing.
PhD thesis, 2012

BiN: a buffer-in-NUCA scheme for accelerator-rich CMPs.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Static and dynamic co-optimizations for blocks mapping in hybrid caches.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Dynamically reconfigurable hybrid cache: An energy-efficient last-level cache design.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Multi-vector composition and its application in landscape patch shape deformation and dynamic analysis.
Ecol. Informatics, 2011

An energy-efficient adaptive hybrid cache.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

A reuse-aware prefetching scheme for scratchpad memory.
Proceedings of the 48th Design Automation Conference, 2011

2010
ACES: application-specific cycle elimination and splitting for deadlock-free routing on irregular network-on-chip.
Proceedings of the 47th Design Automation Conference, 2010

2009
Revisiting bitwidth optimizations.
Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, 2009

Evaluation of Static Analysis Techniques for Fixed-Point Precision Optimization.
Proceedings of the FCCM 2009, 2009

2008
Power reduction of CMP communication networks via RF-interconnects.
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008

2007
Explicit data organization SIMD instruction set architecture for media processors.
Proceedings of the IASTED International Conference on Parallel and Distributed Computing and Networks, 2007

An optimized linear skewing interleave scheme for on-chip multi-access memory systems.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

2005
Wireless aware SCTP for ad hoc networks.
Proceedings of the IEEE 2nd International Conference on Mobile Adhoc and Sensor Systems, 2005


  Loading...