Glenn Reinman

According to our database1, Glenn Reinman authored at least 91 papers between 1998 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
BeaconGNN: Large-Scale GNN Acceleration with Out-of-Order Streaming In-Storage Computing.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024

2020
FPGA-based Near Data Processing Platform Selection Using Fast Performance Modeling (WiP Paper).
Proceedings of the 21st ACM SIGPLAN/SIGBED International Conference on Languages, 2020

Reconfigurable Accelerator Compute Hierarchy: A Case Study using Content-Based Image Retrieval.
Proceedings of the IEEE International Symposium on Workload Characterization, 2020

2019
In-Depth Analysis on Microarchitectures of Modern Heterogeneous CPU-FPGA Platforms.
ACM Trans. Reconfigurable Technol. Syst., 2019

Understanding Performance Gains of Accelerator-Rich Architectures.
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019

2017
CHILL: a system for fine-grained mapping of chained high impact long-latency load phases on tightly coupled heterogeneous multi-cores.
Int. J. High Perform. Syst. Archit., 2017

AIM: accelerating computational genomics through scalable and noninvasive accelerator-interposed memory.
Proceedings of the International Symposium on Memory Systems, 2017

Supporting Address Translation for Accelerator-Centric Architectures.
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017

2016
A quantitative analysis on microarchitectures of modern CPU-FPGA platforms.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Customizable Computing
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01748-3, 2015

Accelerating Divergent Applications on SIMD Architectures Using Neural Networks.
ACM Trans. Archit. Code Optim., 2015

PARADE: A Cycle-Accurate Full-System Simulation Platform for Accelerator-Rich Architectural Design and Exploration.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

BRAINIAC: Bringing reliable accuracy into neurally-implemented approximate computing.
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015

On-chip interconnection network for accelerator-rich architectures.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Architecture Support for Domain-Specific Accelerator-Rich CMPs.
ACM Trans. Embed. Comput. Syst., 2014

Accelerator-Rich Architectures: Opportunities and Progresses.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Dynamically adaptive and reliable approximate computing using light-weight error analysis.
Proceedings of the 2014 NASA/ESA Conference on Adaptive Hardware and Systems, 2014

2013
Stream arbitration: Towards efficient bandwidth utilization for emerging on-chip interconnects.
ACM Trans. Archit. Code Optim., 2013

Composable accelerator-rich microprocessor enhanced for adaptivity and longevity.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

2012
Parallelized egocentric fields for autonomous navigation.
Vis. Comput., 2012

Utilizing RF-I and intelligent scheduling for better throughput/watt in a mobile GPU memory system.
ACM Trans. Archit. Code Optim., 2012

Utilizing Radio-Frequency Interconnect for a Many-DIMM DRAM System.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012

Analysis of Noncoherent ASK Modulation-Based RF-Interconnect for Memory Interface.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012

An 8Gb/s/pin 4pJ/b/pin Single-T-Line dual (base+RF) band simultaneous bidirectional mobile memory I/O interface with inter-channel interference suppression.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

BiN: a buffer-in-NUCA scheme for accelerator-rich CMPs.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

CHARM: a composable heterogeneous accelerator-rich microprocessor.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Static and dynamic co-optimizations for blocks mapping in hybrid caches.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Dynamically reconfigurable hybrid cache: An energy-efficient last-level cache design.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Architecture support for accelerator-rich CMPs.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

A 60GHz on-chip RF-Interconnect with λ/4 coupler for 5Gbps bi-directional communication and multi-drop arbitration.
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012

Compilation and architecture support for customized vector instruction extension.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
Footstep navigation for dynamic crowds.
Comput. Animat. Virtual Worlds, 2011

Customizable Domain-Specific Computing.
IEEE Des. Test Comput., 2011

A Behavior-Authoring Framework for Multiactor Simulations.
IEEE Computer Graphics and Applications, 2011

A modular framework for adaptive agent-based steering.
Proceedings of the Symposium on Interactive 3D Graphics and Games, 2011

Behavior authoring for crowd simulations.
Proceedings of the Symposium on Interactive 3D Graphics and Games, 2011

Scenario Space: Characterizing Coverage, Quality, and Failure of SteeringAlgorithms.
Proceedings of the 2011 Eurographics/ACM SIGGRAPH Symposium on Computer Animation, 2011

Improved Benchmarking for Steering Algorithms.
Proceedings of the Motion in Games - 4th International Conference, 2011

An 8.4Gb/s 2.5pJ/b mobile memory I/O interface using simultaneous bidirectional Dual (Base+RF) band signaling.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

An energy-efficient adaptive hybrid cache.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

The DIMM tree architecture: A high bandwidth and scalable memory system.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

HC-Sim: a fast and exact l1 cache simulator with scratchpad memory co-simulation support.
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011

Accelerating vision and navigation applications on a customizable platform.
Proceedings of the 22nd IEEE International Conference on Application-specific Systems, 2011

RF-Interconnect for Future Network-On-Chip.
Proceedings of the Low Power Networks-on-Chip., 2011

2010
ACES: application-specific cycle elimination and splitting for deadlock-free routing on irregular network-on-chip.
Proceedings of the 47th Design Automation Conference, 2010

2009
Fool me twice: Exploring and exploiting error tolerance in physics-based animation.
ACM Trans. Graph., 2009

SteerBench: a benchmark suite for evaluating steering behaviors.
Comput. Animat. Virtual Worlds, 2009

Multiband RF-interconnect for reconfigurable network-on-chip communications.
Proceedings of the 11th International Workshop on System-Level Interconnect Prediction (SLIP 2009), 2009

SteerBug: an interactive framework for specifying and detecting steering behaviors.
Proceedings of the 2009 ACM SIGGRAPH/Eurographics Symposium on Computer Animation, 2009

A scalable micro wireless interconnect structure for CMPs.
Proceedings of the 15th Annual International Conference on Mobile Computing and Networking, 2009

An Open Framework for Developing, Evaluating, and Sharing Steering Algorithms.
Proceedings of the Motion in Games, Second International Workshop, 2009

2008
Investigating the effects of fine-grain three-dimensional integration on microarchitecture design.
ACM J. Emerg. Technol. Comput. Syst., 2008

Watch Out! A Framework for Evaluating Steering Behaviors.
Proceedings of the Motion in Games, First International Workshop, 2008

Power reduction of CMP communication networks via RF-interconnects.
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008

RF interconnects for communications on-chip.
Proceedings of the 2008 International Symposium on Physical Design, 2008

MC-Sim: an efficient simulation tool for MPSoC designs.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

CMP network-on-chip overlaid with multi-band RF-interconnect.
Proceedings of the 14th International Conference on High-Performance Computer Architecture (HPCA-14 2008), 2008

2007
Accelerating Sequential Applications on CMPs Using Core Spilling.
IEEE Trans. Parallel Distributed Syst., 2007

The Art of Deception: Adaptive Precision Reduction for Area Efficient Physics Acceleration.
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), 2007

Reducing Energy of DRAM/Flash Memory System by OS-controlled Data Refresh.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

ParallAX: an architecture for real-time physics.
Proceedings of the 34th International Symposium on Computer Architecture (ISCA 2007), 2007

Fine grain 3D integration for microarchitecture design through cube packing exploration.
Proceedings of the 25th International Conference on Computer Design, 2007

Micro-architecture Pipelining Optimization with Throughput-Aware Floorplanning.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
An Evaluation of Deeply Decoupled Cores.
J. Instr. Level Parallelism, 2006

Enabling real-time physics simulation in future interactive entertainment.
Proceedings of the 2006 ACM SIGGRAPH symposium on Videogames, 2006

Handheld System Energy Reduction by OS-Driven Refresh.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2006

Improving the performance and power efficiency of shared helpers in CMPs.
Proceedings of the 2006 International Conference on Compilers, 2006

An automated design flow for 3D microarchitecture evaluation.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Dynamically configurable shared CMP helper engines for improved performance.
SIGARCH Comput. Archit. News, 2005

Precise Instruction Scheduling.
J. Instr. Level Parallelism, 2005

Understanding the energy efficiency of SMT and CMP with multiclustering.
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005

Tornado warning: the perils of selective replay in multithreaded processors.
Proceedings of the 19th Annual International Conference on Supercomputing, 2005

Reducing the Latency and Area Cost of Core Swapping through Shared Helper Engines.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

Reducing the Energy of Speculative Instruction Schedulers.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

Instruction set extension with shadow registers for configurable processors.
Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, 2005

Fast and fair: data-stream quality of service.
Proceedings of the 2005 International Conference on Compilers, 2005

Microarchitecture evaluation with floorplanning and interconnect pipelining.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Using a serial cache for energy efficient instruction fetching.
J. Syst. Archit., 2004

Low-Overhead Core Swapping for Thermal Management.
Proceedings of the Power-Aware Computer Systems, 4th International Workshop, 2004

Scaling the issue window with look-ahead latency prediction.
Proceedings of the 18th Annual International Conference on Supercomputing, 2004

2003
Reducing energy and delay using efficient victim caches.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

Just Say No: Benefits of Early Cache Miss Determinatio.
Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), 2003

Microarchitecture evaluation with physical planning.
Proceedings of the 40th Design Automation Conference, 2003

2002
High Performance and Energy Efficient Serial Prefetch Architecture.
Proceedings of the High Performance Computing, 4th International Symposium, 2002

2001
Optimizations Enabled by a Decoupled Front-End Architecture.
IEEE Trans. Computers, 2001

2000
A Comparative Survey of Load Speculation Architectures.
J. Instr. Level Parallelism, 2000

1999
Fetch Directed Instruction Prefetching.
Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, 1999

A Scalable Front-End Architecture for Fast Instruction Delivery.
Proceedings of the 26th Annual International Symposium on Computer Architecture, 1999

Selective Value Prediction.
Proceedings of the 26th Annual International Symposium on Computer Architecture, 1999

Classifying load and store instructions for memory renaming.
Proceedings of the 13th international conference on Supercomputing, 1999

1998
Predictive Techniques for Aggressive Load Speculation.
Proceedings of the 31st Annual IEEE/ACM International Symposium on Microarchitecture, 1998


  Loading...