Liang Chen

Orcid: 0000-0002-5373-0328

Affiliations:
  • University of California, Riverside, Department of Electrical and Computer Engineering, CA, USA
  • Shanghai Jiao Tong University, Department of electronic engineering, China (PhD 2020)


According to our database1, Liang Chen authored at least 17 papers between 2020 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Thermoelectric Cooler Modeling and Optimization via Surrogate Modeling Using Implicit Physics-Constrained Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

Linear Time Electromigration Analysis Based on Physics-Informed Sparse Regression.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

Hot-spot aware thermoelectric array based cooling for multicore processors.
Integr., March, 2023

PostPINN-EM: Fast Post-Voiding Electromigration Analysis Using Two-Stage Physics-Informed Neural Networks.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Fast Full-Chip Parametric Thermal Analysis Based on Enhanced Physics Enforced Neural Networks.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

2022
Electrothermal Simulation and Optimal Design of Thermoelectric Cooler Using Analytical Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

HierPINN-EM: Fast Learning-Based Electromigration Analysis for Multi-Segment Interconnects Using Hierarchical Physics-Informed Neural Network.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Fast Electromigration Stress Analysis Considering Spatial Joule Heating Effects.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

Fast Thermal Analysis for Chiplet Design based on Graph Convolution Networks.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
Fast Physics-Based Electromigration Analysis for Full-Chip Networks by Efficient Eigenfunction-Based Solution.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

A Fast Semi-Analytic Approach for Combined Electromigration and Thermomigration Analysis for General Multisegment Interconnects.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Robust power grid network design considering EM aging effects for multi-segment wires.
Integr., 2021

EMGraph: Fast Learning-Based Electromigration Analysis for Multi-Segment Interconnect Using Graph Convolution Networks.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Fast Analytic Electromigration Analysis for General Multisegment Interconnect Wires.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Data-Driven Fast Electrostatics and TDDB Aging Analysis.
Proceedings of the MLCAD '20: 2020 ACM/IEEE Workshop on Machine Learning for CAD, 2020

Electromigration Immortality Check considering Joule Heating Effect for Multisegment Wires.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

An Adaptive Electromigration Assessment Algorithm for Full-chip Power/Ground Networks.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020


  Loading...