Hussam Amrouch

Orcid: 0000-0002-5649-3102

Affiliations:
  • Technical University of Munich, Germany
  • Karlsruhe Institute of Technology, Germany (former)


According to our database1, Hussam Amrouch authored at least 221 papers between 2011 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
WaSSaBi: Wafer Selection With Self-Supervised Representations and Brain-Inspired Active Learning.
IEEE Trans. Circuits Syst. I Regul. Pap., April, 2024

In-Memory Acceleration of Hyperdimensional Genome Matching on Unreliable Emerging Technologies.
IEEE Trans. Circuits Syst. I Regul. Pap., April, 2024

Approximation- and Quantization-Aware Training for Graph Neural Networks.
IEEE Trans. Computers, February, 2024

Introduction to the Special Issue on Design for Testability and Reliability of Security-aware Hardware.
ACM Trans. Design Autom. Electr. Syst., January, 2024

2023
Transistor Self-Heating-Aware Synthesis for Reliable Digital Circuit Designs.
IEEE Trans. Circuits Syst. I Regul. Pap., December, 2023

Unlocking Efficiency in BNNs: Global by Local Thresholding for Analog-Based HW Accelerators.
IEEE J. Emerg. Sel. Topics Circuits Syst., December, 2023

Impact of Non-Volatile Memory Cells on Spiking Neural Network Annealing Machine With In-Situ Synapse Processing.
IEEE Trans. Circuits Syst. I Regul. Pap., November, 2023

Performance and Energy Studies on NC-FinFET Cache-Based Systems With FN-McPAT.
IEEE Trans. Very Large Scale Integr. Syst., September, 2023

Modeling and Predicting Transistor Aging Under Workload Dependency Using Machine Learning.
IEEE Trans. Circuits Syst. I Regul. Pap., September, 2023

Golden-Free Robust Age Estimation to Triage Recycled ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., September, 2023

HW/SW Co-Design for Reliable TCAM- Based In-Memory Brain-Inspired Hyperdimensional Computing.
IEEE Trans. Computers, August, 2023

Massively Parallel Circuit Setup in GPU-SPICE.
IEEE Trans. Computers, August, 2023

FDSOI-Based Analog Computing for Ultra-Efficient Hamming Distance Similarity Calculation.
IEEE Trans. Circuits Syst. I Regul. Pap., July, 2023

Cross-Layer Reliability Modeling of Dual-Port FeFET: Device-Algorithm Interaction.
IEEE Trans. Circuits Syst. I Regul. Pap., July, 2023

Machine Learning-Based Microarchitecture- Level Power Modeling of CPUs.
IEEE Trans. Computers, April, 2023

Hot-spot aware thermoelectric array based cooling for multicore processors.
Integr., March, 2023

HW/SW Codesign for Approximation-Aware Binary Neural Networks.
IEEE J. Emerg. Sel. Topics Circuits Syst., March, 2023

Compact CMOS-Compatible Majority Gate Using Body Biasing in FDSOI Technology.
IEEE J. Emerg. Sel. Topics Circuits Syst., March, 2023

Brain-Inspired Hyperdimensional Computing: How Thermal-Friendly for Edge Computing?
IEEE Embed. Syst. Lett., March, 2023

Targeting DNN Inference Via Efficient Utilization of Heterogeneous Precision DNN Accelerators.
IEEE Trans. Emerg. Top. Comput., 2023

Cryogenic CMOS for Quantum Processing: 5-nm FinFET-Based SRAM Arrays at 10 K.
IEEE Trans. Circuits Syst. I Regul. Pap., 2023

Cryogenic In-Memory Computing for Quantum Processors Using Commercial 5-nm FinFETs.
IEEE Open J. Circuits Syst., 2023

Low Power and Temperature-Resilient Compute-In-Memory Based on Subthreshold-FeFET.
CoRR, 2023

HW/SW Codesign for Robust and Efficient Binarized SNNs by Capacitor Minimization.
CoRR, 2023

Powering Disturb-Free Reconfigurable Computing and Tunable Analog Electronics with Dual-Port Ferroelectric FET.
CoRR, 2023

Compact and High-Performance TCAM Based on Scaled Double-Gate FeFETs.
CoRR, 2023

Reliable Brain-inspired AI Accelerators using Classical and Emerging Memories.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023

Frontiers in AI Acceleration: From Approximate Computing to FeFET Monolithic 3D Integration.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

Long-Term Aging Impacts on Spatial On-Chip Power Density and Temperature.
Proceedings of the 19th International Conference on Synthesis, 2023

SyncTREE: Fast Timing Analysis for Integrated Circuit Design through a Physics-informed Tree-based Graph Neural Network.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

ML-TCAD: Perspectives and Challenges on Accelerating Transistor Modeling using ML.
Proceedings of the 5th ACM/IEEE Workshop on Machine Learning for CAD, 2023

Analysis and Characterization of Defects in FeFETs.
Proceedings of the IEEE International Test Conference, 2023

Robust Pattern Generation for Small Delay Faults Under Process Variations.
Proceedings of the IEEE International Test Conference, 2023

Temperature-Aware Memory Mapping and Active Cooling of Neural Processing Units.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

Comprehensive Reliability Analysis of 22nm FDSOI SRAM from Device Physics to Deep Learning.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Reliable FeFET-based Neuromorphic Computing through Joint Modeling of Cycle-to-Cycle Variability, Device-to-Device Variability, and Domain Stochasticity.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

Characterizing BTI and HCD in 1.2V 65nm CMOS Oscillators made from Combinational Standard Cells and Processor Logic Paths.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

Monolithic 3D Integrated BEOL Dual-Port Ferroelectric FET to Break the Tradeoff Between the Memory Window and the Ferroelectric Thickness.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

Energy-efficient Computation-In-Memory Architecture using Emerging Technologies.
Proceedings of the International Conference on Microelectronics, 2023

Invited Paper: Ultra-Efficient Edge AI Using FeFET-based Monolithic 3D Integration.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Reliable Hyperdimensional Reasoning on Unreliable Emerging Technologies.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Stress-Resiliency of AI Implementations on FPGAs.
Proceedings of the 33rd International Conference on Field-Programmable Logic and Applications, 2023

5nm FinFET Cryogenic SRAM Evaluation for Quantum Computing.
Proceedings of the Device Research Conference, 2023

Challenges in Machine Learning Techniques to Estimate Reliability from Transistors to Circuits.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

Learning-Oriented Reliability Improvement of Computing Systems From Transistor to Application Level.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Robust Resistive Open Defect Identification Using Machine Learning with Efficient Feature Selection.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Upheaving Self-Heating Effects from Transistor to Circuit Level using Conventional EDA Tool Flows.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

HDGIM: Hyperdimensional Genome Sequence Matching on Unreliable highly scaled FeFET.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Design Automation for Cryogenic CMOS Circuits.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Compact and High-Performance TCAM Based on Scaled Double-Gate FeFETs.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Tutorial: The Synergy of Hyperdimensional and In-Memory Computing.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2023

ML to the Rescue: Reliability Estimation from Self-Heating and Aging in Transistors All the Way up Processors.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

Beyond von Neumann Era: Brain-Inspired Hyperdimensional Computing to the Rescue.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

Hyperdimensional Computing for Robust and Efficient Unsupervised Learning.
Proceedings of the 57th Asilomar Conference on Signals, Systems, and Computers, ACSSC 2023, Pacific Grove, CA, USA, October 29, 2023

Technology/Algorithm Co-Design for Robust Brain-Inspired Hyperdimensional In-memory Computing.
Proceedings of the 57th Asilomar Conference on Signals, Systems, and Computers, ACSSC 2023, Pacific Grove, CA, USA, October 29, 2023

2022
FN-CACTI: Advanced CACTI for FinFET and NC-FinFET Technologies.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Energy Efficient Edge Computing Enabled by Satisfaction Games and Approximate Computing.
IEEE Trans. Green Commun. Netw., 2022

Software-Managed Read and Write Wear-Leveling for Non-Volatile Main Memory.
ACM Trans. Embed. Comput. Syst., 2022

Towards a New Thermal Monitoring Based Framework for Embedded CPS Device Security.
IEEE Trans. Dependable Secur. Comput., 2022

Bridging the Gap Between Voltage Over-Scaling and Joint Hardware Accelerator-Algorithm Closed-Loop.
IEEE Trans. Circuits Syst. Video Technol., 2022

Reliable Binarized Neural Networks on Unreliable Beyond Von-Neumann Architecture.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Characterizing Approximate Adders and Multipliers for Mitigating Aging and Temperature Degradations.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Efficient Learning Strategies for Machine Learning-Based Characterization of Aging-Aware Cell Libraries.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Scalable Machine Learning to Estimate the Impact of Aging on Circuits Under Workload Dependency.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Variability-Aware Approximate Circuit Synthesis via Genetic Optimization.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Full-Chip Power Density and Thermal Map Characterization for Commercial Microprocessors Under Heat Sink Cooling.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

MLCAD: A Survey of Research in Machine Learning for CAD Keynote Paper.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

A Novel Attack Mode on Advanced Technology Nodes Exploiting Transistor Self-Heating.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Electrothermal Simulation and Optimal Design of Thermoelectric Cooler Using Analytical Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

GNN4REL: Graph Neural Networks for Predicting Circuit Reliability Degradation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Thermal-Aware Design for Approximate DNN Accelerators.
IEEE Trans. Computers, 2022

FeFET-Based Binarized Neural Networks Under Temperature-Dependent Bit Errors.
IEEE Trans. Computers, 2022

Trojan Detection in Embedded Systems With FinFET Technology.
IEEE Trans. Computers, 2022

Impact of NCFET Technology on Eliminating the Cooling Cost and Boosting the Efficiency of Google TPU.
IEEE Trans. Computers, 2022

Real-Time Full-Chip Thermal Tracking: A Post-Silicon, Machine Learning Perspective.
IEEE Trans. Computers, 2022

A Framework for Crossing Temperature-Induced Timing Errors Underlying Hardware Accelerators to the Algorithm and Application Layers.
IEEE Trans. Computers, 2022

On the Reliability of FeFET On-Chip Memory.
IEEE Trans. Computers, 2022

Brain-Inspired Computing for Circuit Reliability Characterization.
IEEE Trans. Computers, 2022

Design-time exploration of voltage switching against power analysis attacks in 14 nm FinFET technology.
Integr., 2022

HW/SW Co-design for Reliable In-memory Brain-inspired Hyperdimensional Computing.
CoRR, 2022

Modeling TPU Thermal Maps Under Superlattice Thermoelectric Cooling.
IEEE Access, 2022

Asymmetric Double-Gate Ferroelectric FET to Decouple the Tradeoff Between Thickness Scaling and Memory Window.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

Cross-layer FeFET Reliability Modeling for Robust Hyperdimensional Computing.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022

Advanced Thermal Management using Approximate Computing and On-Chip Thermoelectric Cooling.
Proceedings of the 35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design, 2022

A Novel Approach to Mitigate Power Side-Channel Attacks for Emerging Negative Capacitance Transistor Technology.
Proceedings of the 20th IEEE Interregional NEWCAS Conference, 2022

Wafer Map Defect Classification Based on the Fusion of Pattern and Pixel Information.
Proceedings of the IEEE International Test Conference, 2022

HW/SW Codesign for Approximate In-Memory Computing.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

Novel FDSOI-based Dynamic XNOR Logic for Ultra-Dense Highly-Efficient Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Ferroelectric FET Threshold Voltage Optimization for Reliable In-Memory Computing.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

Suppressing Channel Percolation in Ferroelectric FET for Reliable Neuromorphic Applications.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

Cleaved-Gate Ferroelectric FET for Reliable Multi-Level Cell Storage.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

Mitigating the Impact of Variability in NCFET-based Coupled-Oscillator Networks Applications.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

AppGNN: Approximation-Aware Functional Reverse Engineering Using Graph Neural Networks.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

On Extracting Reliability Information from Speed Binning.
Proceedings of the IEEE European Test Symposium, 2022

Machine Learning for Test, Diagnosis, Post-Silicon Validation and Yield Optimization.
Proceedings of the IEEE European Test Symposium, 2022

Joint Modeling of Multi-Domain Ferroelectric and Distributed Channel towards Unveiling the Asymmetric Abrupt DC Current Jump in Ferroelectric FET.
Proceedings of the 52nd IEEE European Solid-State Device Research Conference, 2022


Brain-Inspired Hyperdimensional Computing for Ultra-Efficient Edge AI.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2022

Design Close to the Edge for Advanced Technology using Machine Learning and Brain-Inspired Algorithms.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

Enabling Efficient Inference of Convolutional Neural Networks via Approximation.
Proceedings of the Approximate Computing, 2022

Machine Learning Based on Emerging Memories.
Proceedings of the Machine Learning under Resource Constraints - Volume 1: Fundamentals, 2022

2021
PROTON: Post-Synthesis Ferroelectric Thickness Optimization for NCFET Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

On the Resiliency of NCFET Circuits Against Voltage Over-Scaling.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Machine Learning for On-the-Fly Reliability-Aware Cell Library Characterization.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Automated Design Approximation to Overcome Circuit Aging.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Post-Silicon Heat-Source Identification and Machine-Learning-Based Thermal Modeling Using Infrared Thermal Imaging.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Power-Efficient Heterogeneous Many-Core Design With NCFET Technology.
IEEE Trans. Computers, 2021

Performance Optimization of Analog Circuits in Negative Capacitance Transistor Technology.
Microelectron. J., 2021

On-Demand Mobile CPU Cooling With Thin-Film Thermoelectric Array.
IEEE Micro, 2021

Impact of NCFET on Neural Network Accelerators.
IEEE Access, 2021

Minimizing Excess Timing Guard Banding Under Transistor Self-Heating Through Biasing at Zero-Temperature Coefficient.
IEEE Access, 2021

Longevity of Commodity DRAMs in Harsh Environments Through Thermoelectric Cooling.
IEEE Access, 2021

Characterizing the Thermal Feasibility of Monolithic 3D Microprocessors.
IEEE Access, 2021

On the Reliability of In-Memory Computing: Impact of Temperature on Ferroelectric TCAM.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

Reliability-Driven Voltage Optimization for NCFET-based SRAM Memory Banks.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

Special Session: Machine Learning for Semiconductor Test and Reliability.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

Transistor Self-Heating: The Rising Challenge for Semiconductor Testing.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

Towards Reliable In-Memory Computing: From Emerging Devices to Post-von-Neumann Architectures.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

Self-Heating Effects from Transistors to Gates.
Proceedings of the International Symposium on VLSI Design, Automation and Test, 2021

On the Effectiveness of Quantization and Pruning on the Performance of FPGAs-based NN Temperature Estimation.
Proceedings of the 3rd ACM/IEEE Workshop on Machine Learning for CAD, 2021

Machine Learning for Circuit Aging Estimation under Workload Dependency.
Proceedings of the IEEE International Test Conference, 2021

Brain-Inspired Computing for Wafer Map Defect Pattern Classification.
Proceedings of the IEEE International Test Conference, 2021

Robust Brain-Inspired Computing: On the Reliability of Spiking Neural Network Using Emerging Non-Volatile Synapses.
Proceedings of the IEEE International Reliability Physics Symposium, 2021

Traps Based Reliability Barrier on Performance and Revealing Early Ageing in Negative Capacitance FET.
Proceedings of the IEEE International Reliability Physics Symposium, 2021

Variability Effects in FinFET Transistors and Emerging NC-FinFET.
Proceedings of the International Conference on IC Design and Technology, 2021

Binarized SNNs: Efficient and Error-Resilient Spiking Neural Networks through Binarization.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Positive/Negative Approximate Multipliers for DNN Accelerators.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Toward Security Closure in the Face of Reliability Effects ICCAD Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Security Closure of Physical Layouts ICCAD Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

ICCAD Tutorial Session Paper Ferroelectric FET Technology and Applications: From Devices to Systems.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Brain-Inspired Computing: Adventure from Beyond CMOS Technologies to Beyond von Neumann Architectures ICCAD Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

FeFET and NCFET for Future Neural Networks: Visions and Opportunities.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Reliability-Aware Quantization for Anti-Aging NPUs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Control Variate Approximation for DNN Accelerators.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Impact of Negative Capacitance Field-Effect Transistor (NCFET) on Many-Core Systems.
Proceedings of the A Journey of Embedded and Cyber-Physical Systems, 2021

Approximate Computing for ML: State-of-the-art, Challenges and Visions.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Cross-layer Design for Computing-in-Memory: From Devices, Circuits, to Architectures and Applications.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
Introduction to the Special Issue on Machine Learning for CAD.
ACM Trans. Design Autom. Electr. Syst., 2020

A Cross-Layer Gate-Level-to-Application Co-Simulation for Design Space Exploration of Approximate Circuits in HEVC Video Encoders.
IEEE Trans. Circuits Syst. Video Technol., 2020

Weight-Oriented Approximation for Energy-Efficient Neural Network Inference Accelerators.
IEEE Trans. Circuits Syst., 2020

On the Workload Dependence of Self-Heating in FinFET Circuits.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

Aging Compensation With Dynamic Computation Approximation.
IEEE Trans. Circuits Syst. I Fundam. Theory Appl., 2020

Impact of Variability on Processor Performance in Negative Capacitance FinFET Technology.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

Exposing Hardware Trojans in Embedded Platforms via Short-Term Aging.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Dynamic Power and Energy Management for NCFET-Based Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

NPU Thermal Management.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Power Side-Channel Attacks in Negative Capacitance Transistor.
IEEE Micro, 2020

Power Side-Channel Attacks in Negative Capacitance Transistor (NCFET).
CoRR, 2020

Run-Time Accuracy Reconfigurable Stochastic Computing for Dynamic Reliability and Power Management.
CoRR, 2020

SoftWear: Software-Only In-Memory Wear-Leveling for Non-Volatile Main Memory.
CoRR, 2020

Design Automation of Approximate Circuits With Runtime Reconfigurable Accuracy.
IEEE Access, 2020

Hardware Trojan Detection Using Controlled Circuit Aging.
IEEE Access, 2020

Towards NN-based Online Estimation of the Full-Chip Temperature and the Rate of Temperature Change.
Proceedings of the MLCAD '20: 2020 ACM/IEEE Workshop on Machine Learning for CAD, 2020

BTI and HCD Degradation in a Complete 32 × 64 bit SRAM Array - including Sense Amplifiers and Write Drivers - under Processor Activity.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

Temperature Dependence and Temperature-Aware Sensing in Ferroelectric FET.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

Impact of Radiation on Negative Capacitance FinFET.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

Impact of Extrinsic Variation Sources on the Device-to-Device Variation in Ferroelectric FET.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

Modeling Emerging Technologies using Machine Learning: Challenges and Opportunities.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Cell Library Characterization using Machine Learning for Design Technology Co-Optimization.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Energy Optimization in NCFET-based Processors.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Impact of NBTI Aging on Self-Heating in Nanowire FET.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Run-Time Accuracy Reconfigurable Stochastic Computing for Dynamic Reliability and Power Management: Work-in-Progress.
Proceedings of the International Conference on Compilers, 2020

Impact of Self-Heating on Performance, Power and Reliability in FinFET Technology.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

Machine Learning Based Online Full-Chip Heatmap Estimation.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

NCFET to Rescue Technology Scaling: Opportunities and Challenges.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Modeling the Interdependences Between Voltage Fluctuation and BTI Aging.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Estimating and Mitigating Aging Effects in Routing Network of FPGAs.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Modeling and Evaluating the Gate Length Dependence of BTI.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

Modeling and Mitigating Time-Dependent Variability From the Physical Level to the Circuit Level.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Dynamic Guardband Selection: Thermal-Aware Optimization for Unreliable Multi-Core Systems.
IEEE Trans. Computers, 2019

On the Efficiency of Voltage Overscaling under Temperature and Aging Effects.
IEEE Trans. Computers, 2019

Rebirth-FTL: Lifetime optimization via Approximate Storage for NAND Flash.
Proceedings of the 2019 IEEE Non-Volatile Memory Systems and Applications Symposium, 2019

NCFET-Aware Voltage Scaling.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

Aging Gracefully with Approximation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Impact of NBTI on Increasing the Susceptibility of FinFET to Radiation.
Proceedings of the IEEE International Reliability Physics Symposium, 2019

Reliability Challenges with Self-Heating and Aging in FinFET Technology.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

The Impact of Emerging Technologies on Architectures and System-level Management: Invited Paper.
Proceedings of the International Conference on Computer-Aided Design, 2019

Selecting the Optimal Energy Point in Near-Threshold Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Hot Spot Identification and System Parameterized Thermal Modeling for Multi-Core Processors Through Infrared Thermal Imaging.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Performance, Power and Cooling Trade-Offs with NCFET-based Many-Cores.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Reliability in Super- and Near-Threshold Computing: A Unified Model of RTN, BTI, and PV.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

Aging-Aware Boosting.
IEEE Trans. Computers, 2018

Recent advances in EM and BTI induced reliability modeling, analysis and optimization (invited).
Integr., 2018

Negative Capacitance Transistor to Address the Fundamental Limitations in Technology Scaling: Processor Performance.
IEEE Access, 2018

Voltage Adaptation Under Temperature Variation.
Proceedings of the 15th International Conference on Synthesis, 2018

Weighted time lag plot defect parameter extraction and GPU-based BTI modeling for BTI variability.
Proceedings of the IEEE International Reliability Physics Symposium, 2018

Reliability Estimations of Large Circuits in Massively-Parallel GPU-SPICE.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

Trading Off Temperature Guardbands via Adaptive Approximations.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

Dynamic resource management for heterogeneous many-cores.
Proceedings of the International Conference on Computer-Aided Design, 2018

Estimating and optimizing BTI aging effects: from physics to CAD.
Proceedings of the International Conference on Computer-Aided Design, 2018

Aging-constrained performance optimization for multi cores.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
Interdependencies of Degradation Effects and Their Impact on Computing.
IEEE Des. Test, 2017

Containing Guardbands: From the Macro to Micro Time Domain.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 2017

Evaluating and mitigating degradation effects in multimedia circuits.
Proceedings of the 15th IEEE/ACM Symposium on Embedded Systems for Real-Time Multimedia, 2017

Hardware and software innovations in energy-efficient system-reliability monitoring.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2017

Ultra-low power and dependability for IoT devices (Invited paper for IoT technologies).
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Optimizing temperature guardbands.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Towards Aging-Induced Approximations.
Proceedings of the 54th Annual Design Automation Conference, 2017

Emerging (un-)reliability based security threats and mitigations for embedded systems: special session.
Proceedings of the 2017 International Conference on Compilers, 2017

Containing guardbands.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Designing reliable, yet energy-efficient guardbands.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

Stress-aware routing to mitigate aging effects in SRAM-based FPGAs.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

Aging-aware voltage scaling.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Designing guardbands for instantaneous aging effects.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Improving mobile gaming performance through cooperative CPU-GPU thermal management.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Reliability-aware design to suppress aging.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Power and thermal management in massive multicore chips: theoretical foundation meets architectural innovation and resource allocation.
Proceedings of the 2016 International Conference on Compilers, 2016

2015
Techniques for Aging, Soft Errors and Temperature to Increase the Reliability of Embedded On-Chip Systems.
PhD thesis, 2015

Lucid infrared thermography of thermally-constrained processors.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

Connecting the physical and application level towards grasping aging effects.
Proceedings of the IEEE International Reliability Physics Symposium, 2015

Reliability degradation in the scope of aging - From physical to system level.
Proceedings of the 10th International Design & Test Symposium, 2015

2014
RESI: Register-Embedded Self-Immunity for Reliability Enhancement.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Towards interdependencies of aging mechanisms.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

hevcDTM: Application-driven Dynamic Thermal Management for High Efficiency Video Coding.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

mDTM: Multi-objective dynamic thermal management for on-chip systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Analyzing the thermal hotspots in FPGA-based embedded systems.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

Accurate Thermal-Profile Estimation and Validation for FPGA-Mapped Circuits.
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013

Stress balancing to mitigate NBTI effects in register files.
Proceedings of the 2013 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), 2013

Thermal management for dependable on-chip systems.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
COOL: control-based optimization of load-balancing for thermal behavior.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012

2011
Self-Immunity Technique to Improve Register File Integrity Against Soft Errors.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011


  Loading...