Jianlei Yang

Orcid: 0000-0001-8424-7040

Affiliations:
  • Beihang University, School of Computer Science and Engineering, Beijing, China
  • Tsinghua University, Beijing, China (PhD 2014)


According to our database1, Jianlei Yang authored at least 72 papers between 2011 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
DDC-PIM: Efficient Algorithm/Architecture Co-Design for Doubling Data Capacity of SRAM-Based Processing-in-Memory.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2024

2023
IMGA: Efficient In-Memory Graph Convolution Network Aggregation With Data Flow Optimizations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023

NAND-SPIN-based processing-in-MRAM architecture for convolutional neural network acceleration.
Sci. China Inf. Sci., April, 2023

TinyFormer: Efficient Transformer Design and Deployment on Tiny Devices.
CoRR, 2023

Architectural Implications of GNN Aggregation Programming Abstractions.
CoRR, 2023

Lossy and Lossless (L<sup>2</sup>) Post-training Model Size Compression.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

Hardware-Aware Graph Neural Network Automated Design for Edge Computing Platforms.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
Reconfigurable and Dynamically Transformable In-Cache-MPUF System With True Randomness Based on the SOT-MRAM.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Accelerating Graph-Connected Component Computation With Emerging Processing-In-Memory Architecture.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

S<sup>2</sup> Engine: A Novel Systolic Architecture for Sparse Convolutional Neural Networks.
IEEE Trans. Computers, 2022

Triangle Counting Accelerations: From Algorithm to In-Memory Computing Architecture.
IEEE Trans. Computers, 2022

Exploring the Factors of Students' Online Learning Based On Structural Equation Modeling.
Proceedings of the IEEE International Conference on Teaching, 2022

Eventor: an efficient event-based monocular multi-view stereo accelerator on FPGA platform.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
Efficient Computation Reduction in Bayesian Neural Networks Through Feature Decomposition and Memorization.
IEEE Trans. Neural Networks Learn. Syst., 2021

Fast Physics-Based Electromigration Analysis for Full-Chip Networks by Efficient Eigenfunction-Based Solution.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

S2Engine: A Novel Systolic Architecture for Sparse Convolutional Neural Networks.
CoRR, 2021

RoSearch: Search for Robust Student Architectures When Distilling Pre-trained Language Models.
CoRR, 2021

Optimizing Memory Efficiency of Graph Neural Networks on Edge Computing Platforms.
CoRR, 2021

Brief Industry Paper: optimizing Memory Efficiency of Graph Neural Networks on Edge Computing Platforms.
Proceedings of the 27th IEEE Real-Time and Embedded Technology and Applications Symposium, 2021

FedSkel: Efficient Federated Learning on Heterogeneous Systems with Skeleton Gradients Update.
Proceedings of the CIKM '21: The 30th ACM International Conference on Information and Knowledge Management, Virtual Event, Queensland, Australia, November 1, 2021

2020
Bulkyflip: A NAND-SPIN-Based Last-Level Cache With Bandwidth-Oriented Write Management Policy.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

A Novel High Performance and Energy Efficient NUCA Architecture for STT-MRAM LLCs With Thermal Consideration.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

SPINBIS: Spintronics-Based Bayesian Inference System With Stochastic Computing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Hardware Security in Spin-based Computing-in-memory: Analysis, Exploits, and Mitigation Techniques.
ACM J. Emerg. Technol. Comput. Syst., 2020

Prototyping federated learning on edge computing systems.
Frontiers Comput. Sci., 2020

TIPRDC: Task-Independent Privacy-Respecting Data Crowdsourcing Framework with Anonymized Intermediate Representations.
CoRR, 2020

An STT-MRAM based reconfigurable computing-in-memory architecture for general purpose computing.
CCF Trans. High Perform. Comput., 2020

TIPRDC: Task-Independent Privacy-Respecting Data Crowdsourcing Framework for Deep Learning with Anonymized Intermediate Representations.
Proceedings of the KDD '20: The 26th ACM SIGKDD Conference on Knowledge Discovery and Data Mining, 2020

Computing-in-Memory Architecture Based on Field-Free SOT-MRAM with Self-Reference Method.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Dual-Plane Switch Architecture for Time-Triggered Ethernet.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Towards Systems Education for Artificial Intelligence: A Course Practice in Intelligent Computing Architectures.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Accelerating CNN Training by Pruning Activation Gradients.
Proceedings of the Computer Vision - ECCV 2020, 2020

TCIM: Triangle Counting Acceleration With Processing-In-MRAM Architecture.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

SparseTrain: Exploiting Dataflow Sparsity for Efficient Convolutional Neural Networks Training.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Exploiting Spin-Orbit Torque Devices As Reconfigurable Logic for Circuit Obfuscation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Redesigning pipeline when architecting STT-RAM as registers in rad-hard environment.
Sustain. Comput. Informatics Syst., 2019

ELFISH: Resource-Aware Federated Learning on Heterogeneous Edge Devices.
CoRR, 2019

Accelerating CNN Training by Sparsifying Activation Gradients.
CoRR, 2019

Exploiting Near-Memory Processing Architectures for Bayesian Neural Networks Acceleration.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

SR-WTA: Skyrmion Racing Winner-Takes-All Module for Spiking Neural Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Magnetic Skyrmion-Based Neural Recording System Design for Brain Machine Interface.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

eSLAM: An Energy-Efficient Accelerator for Real-Time ORB-SLAM on FPGA Platform.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Power Supply Noise Aware Task Scheduling on Homogeneous 3D MPSoCs Considering the Thermal Constraint.
J. Comput. Sci. Technol., 2018

A Scalable Pipelined Dataflow Accelerator for Object Region Proposals on FPGA Platform.
Proceedings of the International Conference on Field-Programmable Technology, 2018

A Novel Approach on Entity Linking for Encyclopedia Infoboxes.
Proceedings of the Knowledge Graph and Semantic Computing. Knowledge Computing and Language Understanding, 2018

Spintronics based stochastic computing for efficient Bayesian inference system.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Pipeline Optimizations of Architecting STT-RAM as Registers in Rad-Hard Environment.
Proceedings of the 2017 IEEE Trustcom/BigDataSE/ICESS, Sydney, Australia, August 1-4, 2017, 2017

Generative adversarial network based scalable on-chip noise sensor placement.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

Power Profile Equalizer: A Lightweight Countermeasure against Side-Channel Attack.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Thermosiphon: A thermal aware NUCA architecture for write energy reduction of the STT-MRAM based LLCs.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

2016
Alleviating Through-Silicon-Via Electromigration for 3-D Integrated Circuits Taking Advantage of Self-Healing Effect.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Temperature Impact Analysis and Access Reliability Enhancement for 1T1MTJ STT-RAM.
IEEE Trans. Reliab., 2016

Radiation-Induced Soft Error Analysis of STT-MRAM: A Device to Circuit Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Spintronic Memristor as Interface Between DNA and Solid State Devices.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2016

A novel circuit design of true random number generator using magnetic tunnel junction.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016

A Memristor Crossbar Based Computing Engine Optimized for High Speed and Accuracy.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

ODESY: a novel 3T-3MTJ cell design with optimized area DEnsity, scalability and latencY.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Secure and Low-Overhead Circuit Obfuscation Technique with Multiplexers.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

2015
A Selected Inversion Approach for Locality Driven Vectorless Power Grid Verification.
IEEE Trans. Very Large Scale Integr. Syst., 2015

An overview on memristor crossabr based neuromorphic circuit and architecture.
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015

A High-Speed Robust NVM-TCAM Design Using Body Bias Feedback.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

Early stage real-time SoC power estimation using RTL instrumentation.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
PowerRush: An Efficient Simulator for Static Power Grid Analysis.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Friendly Fast Poisson Solver Preconditioning Technique for Power Grid Analysis.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Power supply noise aware evaluation framework for side channel attacks and countermeasures.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014

Fast vectorless power grid verification using maximum voltage drop location estimation.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Selected inversion for vectorless power grid verification by exploiting locality.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

A multilevel ℌ-matrix-based approximate matrix inversion algorithm for vectorless power grid verification.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
PowerRush : Efficient transient simulation for power grid analysis.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

2011
PowerRush: A linear simulator for power grid.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Fast poisson solver preconditioned method for robust power grid analysis.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Obstacle-avoiding and slew-constrained buffered clock tree synthesis for skew optimization.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011


  Loading...