Zeyu Sun

Orcid: 0000-0001-7465-1824

Affiliations:
  • University of California at Riverside, CA, USA


According to our database1, Zeyu Sun authored at least 26 papers between 2016 and 2021.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2021
A Fast Semi-Analytic Approach for Combined Electromigration and Thermomigration Analysis for General Multisegment Interconnects.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

2020
Fast Analytic Electromigration Analysis for General Multisegment Interconnect Wires.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Accelerating Electromigration Aging: Fast Failure Detection for Nanometer ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Interconnect Electromigration Modeling and Analysis for Nanometer ICs: From Physics to Full-Chip.
IPSJ Trans. Syst. LSI Des. Methodol., 2020

EM-GAN: Data-Driven Fast Stress Analysis for Multi-Segment Interconnects.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

Reliable Power Grid Network Design Framework Considering EM Immortalities for Multi-Segment Wires.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
EM-Aware and Lifetime-Constrained Optimization for Multisegment Power Grid Networks.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Saturation-Volume Estimation for Multisegment Copper Interconnect Wires.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Reliability based hardware Trojan design using physics-based electromigration models.
Integr., 2019

Dynamic Reliability Management for Multi-Core Processor Based on Deep Reinforcement Learning.
Proceedings of the 16th International Conference on Synthesis, 2019

Long-Term Reliability Management For Multitasking GPGPUs.
Proceedings of the 16th International Conference on Synthesis, 2019

2018
Recovery-Aware Proactive TSV Repair for Electromigration Lifetime Enhancement in 3-D ICs.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Fast Electromigration Stress Evolution Analysis for Interconnect Trees Using Krylov Subspace Method.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Fast Electromigration Immortality Analysis for Multisegment Copper Interconnect Wires.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Recent advances in EM and BTI induced reliability modeling, analysis and optimization (invited).
Integr., 2018

Detection of counterfeited ICs via on-chip sensor and post-fabrication authentication policy.
Integr., 2018

Accelerating Electromigration Wear-Out Effects Based on Configurable Sink-Structured Wires.
Proceedings of the 15th International Conference on Synthesis, 2018

Electromigration-lifetime constrained power grid optimization considering multi-segment interconnect wires.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

Accelerating electromigration aging for fast failure detection for nanometer ICs.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Energy and Lifetime Optimizations for Dark Silicon Manycore Microprocessor Considering Both Hard and Soft Errors.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Leveraging recovery effect to reduce electromigration degradation in power/ground TSV.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Dynamic temperature-aware reliability modeling for multi-branch interconnect trees.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
Finite difference method for electromigration analysis of multi-branch interconnects.
Proceedings of the 13th International Conference on Synthesis, 2016

Voltage-based electromigration immortality check for general multi-branch interconnects.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Dynamic reliability management for near-threshold dark silicon processors.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Invited - Cross-layer modeling and optimization for electromigration induced reliability.
Proceedings of the 53rd Annual Design Automation Conference, 2016


  Loading...