Mengquan Li

Orcid: 0000-0002-9385-734X

According to our database1, Mengquan Li authored at least 34 papers between 2015 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Automated Optical Accelerator Search Toward Superior Acceleration Efficiency, Inference Robustness, and Development Speed.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., January, 2024

2023
Automated Optical Accelerator Search: Expediting Green and Ubiquitous DNN-Powered Intelligence.
IEEE Des. Test, December, 2023

An Efficient Hierarchical-Reduction Architecture for Aggregation in Route Travel Time Estimation.
IEEE Trans. Parallel Distributed Syst., September, 2023

Predicting for I/O stack optimizations on cyber-physical systems.
Microprocess. Microsystems, 2023

RLAlloc: A Deep Reinforcement Learning-Assisted Resource Allocation Framework for Enhanced Both I/O Throughput and QoS Performance of Multi-Streamed SSDs.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

FIONA: Fine-grained Incoherent Optical DNN Accelerator Search for Superior Efficiency and Robustness.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
Contention Minimization in Emerging SMART NoC via Direct and Indirect Routes.
IEEE Trans. Computers, 2022

LDP: Learnable Dynamic Precision for Efficient Deep Neural Network Training and Inference.
CoRR, 2022

2021
Contention-Aware Routing for Thermal-Reliable Optical Networks-on-Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Reduced Worst-Case Communication Latency Using Single-Cycle Multihop Traversal Network-on-Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Attack Mitigation of Hardware Trojans for Thermal Sensing via Micro-ring Resonator in Optical NoCs.
ACM J. Emerg. Technol. Comput. Syst., 2021

O-HAS: Optical Hardware Accelerator Search for Boosting Both Acceleration Performance and Development Speed.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

2020
Hardware-Software Collaborative Thermal Sensing in Optical Network-on-Chip-based Manycore Systems.
ACM Trans. Embed. Comput. Syst., 2020

Autonomous temperature sensing for optical network-on-chip.
J. Syst. Archit., 2020

Mitigation of Tampering Attacks for MR-Based Thermal Sensing in Optical NoCs.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

Lightweight Thermal Monitoring in Optical Networks-on-Chip via Router Reuse.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Contention Minimized Bypassing in SMART NoC.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Energy-Efficient Application Mapping and Scheduling for Lifetime Guaranteed MPSoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Thermal Sensing Using Micro-ring Resonators in Optical Network-on-Chip.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Routing in optical network-on-chip: minimizing contention with guaranteed thermal reliability.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

2018
Chip Temperature Optimization for Dark Silicon Many-Core Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Fine-Grained Task-Level Parallel and Low Power H.264 Decoding in Multi-Core Systems.
Proceedings of the 24th IEEE International Conference on Parallel and Distributed Systems, 2018

User Experience-Enhanced and Energy-Efficient Task Scheduling on Heterogeneous Multi-Core Mobile Systems.
Proceedings of the 24th IEEE International Conference on Parallel and Distributed Systems, 2018

Communication optimization for thermal reliable optical network-on-chip: work-in-progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2018

2017
FoToNoC: A Folded Torus-Like Network-on-Chip Based Many-Core Systems-on-Chip in the Dark Silicon Era.
IEEE Trans. Parallel Distributed Syst., 2017

Hardware-software collaboration for dark silicon heterogeneous many-core systems.
Future Gener. Comput. Syst., 2017

Quantitative Modeling of Thermo-Optic Effects in Optical Networks-on-Chip.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Fixed priority scheduling of real-time flows with arbitrary deadlines on smart NoCs: work-in-progress.
Proceedings of the Thirteenth ACM International Conference on Embedded Software 2017 Companion, 2017

Task Mapping on SMART NoC: Contention Matters, Not the Distance.
Proceedings of the 54th Annual Design Automation Conference, 2017

Dark silicon-aware hardware-software collaborated design for heterogeneous many-core systems.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Application Mapping and Scheduling for Network-on-Chip-Based Multiprocessor System-on-Chip With Fine-Grain Communication Optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2016

FoToNoC: A hierarchical management strategy based on folded lorus-like Network-on-Chip for dark silicon many-core systems.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Traffic-Aware Application Mapping for Network-on-Chip Based Multiprocessor System-on-Chip.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

An Efficient Technique for Chip Temperature Optimization of Multiprocessor Systems in the Dark Silicon Era.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015


  Loading...