Yongan Zhang

Orcid: 0000-0001-7919-049X

According to our database1, Yongan Zhang authored at least 33 papers between 2008 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
DRSN-GAF: Deep Residual Shrinkage Network (DRSN) for Lithology Classification Through Well Logging Data Transformed by Gram Angle Field.
IEEE Geosci. Remote. Sens. Lett., 2024

Application of Adaboost-Transformer Algorithm for Lithology Identification Based on Well Logging Data.
IEEE Geosci. Remote. Sens. Lett., 2024

2023
EyeCoD: Eye Tracking System Acceleration via FlatCam-Based Algorithm and Hardware Co-Design.
IEEE Micro, 2023

Master-ASR: Achieving Multilingual Scalability and Low-Resource Adaptation in ASR with Modular Learning.
Proceedings of the International Conference on Machine Learning, 2023

GPT4AIGChip: Towards Next-Generation AI Accelerator Design Automation via Large Language Models.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

ViTCoD: Vision Transformer Acceleration via Dedicated Algorithm and Accelerator Co-Design.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

2022
RT-RCG: Neural Network and Accelerator Search Towards Effective and Real-time ECG Reconstruction from Intracardiac Electrograms.
ACM J. Emerg. Technol. Comput. Syst., 2022

e-G2C: A 0.14-to-8.31 µJ/Inference NN-based Processor with Continuous On-chip Adaptation for Anomaly Detection and ECG Conversion from EGM.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

i-FlatCam: A 253 FPS, 91.49 µJ/Frame Ultra-Compact Intelligent Lensless Camera for Real-Time and Efficient Eye Tracking in VR/AR.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

EyeCoD: eye tracking system acceleration via flatcam-based algorithm & accelerator co-design.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

GCoD: Graph Convolutional Network Acceleration via Dedicated Algorithm and Accelerator Co-Design.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

A Framework for Neural Network Inference on FPGA-Centric SmartNICs.
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022

FCsN: A FPGA-Centric SmartNIC Framework for Neural Networks.
Proceedings of the 30th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2022

2021
HW-NAS-Bench: Hardware-Aware Neural Architecture Search Benchmark.
CoRR, 2021

Identification of grass growth conditions based on sheep grazing acoustic signals.
Comput. Electron. Agric., 2021

I-GCN: A Graph Convolutional Network Accelerator with Runtime Locality Enhancement through Islandization.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

DIAN: Differentiable Accelerator-Network Co-Search Towards Maximal DNN Efficiency.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

Auto-NBA: Efficient and Effective Search Over the Joint Space of Networks, Bitwidths, and Accelerators.
Proceedings of the 38th International Conference on Machine Learning, 2021

HW-NAS-Bench: Hardware-Aware Neural Architecture Search Benchmark.
Proceedings of the 9th International Conference on Learning Representations, 2021

G-CoS: GNN-Accelerator Co-Search Towards Both Better Accuracy and Efficiency.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

O-HAS: Optical Hardware Accelerator Search for Boosting Both Acceleration Performance and Development Speed.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

A3C-S: Automated Agent Accelerator Co-Search towards Efficient Deep Reinforcement Learning.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

InstantNet: Automated Generation and Deployment of Instantaneously Switchable-Precision Networks.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Auto-Agent-Distiller: Towards Efficient Deep Reinforcement Learning Agents via Neural Architecture Search.
CoRR, 2020

DNA: Differentiable Network-Accelerator Co-Search.
CoRR, 2020

ShiftAddNet: A Hardware-Inspired Deep Network.
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020

DNN-Chip Predictor: An Analytical Performance Predictor for DNN Accelerators with Various Dataflows and Hardware Architectures.
Proceedings of the 2020 IEEE International Conference on Acoustics, 2020

AutoDNNchip: An Automated DNN Chip Predictor and Builder for Both FPGAs and ASICs.
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020

2019
Review of Business Model Innovation.
Proceedings of the 10th International Conference on E-business, Management and Economics, 2019

2018
Visual Analysis of Business Model Innovation Research Based on Citespace.
Proceedings of the 2nd International Conference on E-Education, 2018

Analysis on the Overall Level of Regional Innovation Environment in China.
Proceedings of the 2nd International Conference on E-Education, 2018

2013
Percolation on the institute-enterprise R&D collaboration networks.
CoRR, 2013

2008
Simulation Study on University-Industry Cooperative Innovation Based on Multi-agent Method.
Proceedings of the International Conference on Computer Science and Software Engineering, 2008


  Loading...