Yaoyao Ye

Orcid: 0000-0003-0022-228X

According to our database1, Yaoyao Ye authored at least 50 papers between 2009 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
INDM: Chiplet-Based Interconnect Network and Dataflow Mapping for DNN Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2024

HPPI: A High-Performance Photonic Interconnect Design for Chiplet-Based DNN Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2024

2023
ASDR: An Application-Specific Deadlock-Free Routing for Chiplet-Based Systems.
Proceedings of the 16th International Workshop on Network on Chip Architectures, 2023

2022
A Path Utilization-Based Congestion-Aware Deadlock-Free Routing for Network-on-Chip.
Proceedings of the 2022 6th International Conference on Electronic Information Technology and Computer Engineering, 2022

2021
A Table-Free Approximate Q-Learning-Based Thermal-Aware Adaptive Routing for Optical NoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Ant Colony Optimization-Based Thermal-Aware Adaptive Routing Mechanism for Optical NoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

CCASM: A Computation- and Communication-Aware Scheduling and Mapping Algorithm for NoC-Based DNN Accelerators.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

2020
Hardware-Software Collaborative Thermal Sensing in Optical Network-on-Chip-based Manycore Systems.
ACM Trans. Embed. Comput. Syst., 2020

Thermal-Aware Design and Simulation Approach for Optical NoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Toward a High-Performance and Low-Loss Clos-Benes-Based Optical Network-on-Chip Architecture.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Image Super-Resolution Using Hybrid Attention Mechanism.
Proceedings of the ICVIP 2020: The 4th International Conference on Video and Image Processing, 2020

2019
A thermal-sensitive design of a 3D torus-based optical NoC architecture.
Integr., 2019

An Approximate Thermal-Aware Q-Routing for Optical NoCs.
Proceedings of the 2019 IEEE/ACM Workshop on Photonics-Optics Technology Oriented Networking, 2019

Design of a Hierarchical Clos-Benes Optical Network-on-Chip Architecture.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

2018
Thermal-Sensor-Based Occupancy Detection for Smart Buildings Using Machine-Learning Methods.
ACM Trans. Design Autom. Electr. Syst., 2018

A Learning-Based Thermal-Sensitive Power Optimization Approach for Optical NoCs.
ACM J. Emerg. Technol. Comput. Syst., 2018

Fine-Grained Task-Level Parallel and Low Power H.264 Decoding in Multi-Core Systems.
Proceedings of the 24th IEEE International Conference on Parallel and Distributed Systems, 2018

User Experience-Enhanced and Energy-Efficient Task Scheduling on Heterogeneous Multi-Core Mobile Systems.
Proceedings of the 24th IEEE International Conference on Parallel and Distributed Systems, 2018

Communication optimization for thermal reliable optical network-on-chip: work-in-progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2018

2017
Comprehensive detection of counterfeit ICs via on-chip sensor and post-fabrication authentication policy.
Proceedings of the 14th International Conference on Synthesis, 2017

Thermal-sensitive design and power optimization for a 3D torus-based optical NoC.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

2015
An Inter/Intra-Chip Optical Network for Manycore Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Actively Alleviate Power Gating-Induced Power/Ground Noise Using Parasitic Capacitance of On-Chip Memories in MPSoC.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Crosstalk Noise in WDM-Based Optical Networks-on-Chip: A Formal Study and Comparison.
IEEE Trans. Very Large Scale Integr. Syst., 2015

User Experience Enhanced Task Scheduling and Processor Frequency Scaling for Energy-Sensitive Mobile Devices.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Efficient SAT-based application mapping and scheduling on multiprocessor systems for throughput maximization.
Proceedings of the 2015 International Conference on Compilers, 2015

Alleviate chip I/O pin constraints for multicore processors through optical interconnects.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
UNION: A Unified Inter/Intrachip Optical Network for Chip Multiprocessors.
IEEE Trans. Very Large Scale Integr. Syst., 2014

System-Level Modeling and Analysis of Thermal Effects in WDM-Based Optical Networks-on-Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Systematic Analysis of Crosstalk Noise in Folded-Torus-Based Optical Networks-on-Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Floorplan Optimization of Fat-Tree-Based Networks-on-Chip for Chip Multiprocessors.
IEEE Trans. Computers, 2014

SUOR: Sectioned Undirectional Optical Ring for Chip Multiprocessor.
ACM J. Emerg. Technol. Comput. Syst., 2014

On-chip sensor networks for soft-error tolerant real-time multiprocessor systems-on-chip.
ACM J. Emerg. Technol. Comput. Syst., 2014

CLAP: a crosstalk and loss analysis platform for optical interconnects.
Proceedings of the Eighth IEEE/ACM International Symposium on Networks-on-Chip, 2014

2013
System-Level Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Formal Worst-Case Analysis of Crosstalk Noise in Mesh-Based Optical Networks-on-Chip.
IEEE Trans. Very Large Scale Integr. Syst., 2013

3-D Mesh-Based Optical Network-on-Chip for Multiprocessor System-on-Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

A formal study on topology and floorplan characteristics of mesh and torus-based optical networks-on-chip.
Microprocess. Microsystems, 2013

System-level analysis of mesh-based hybrid optical-electronic network-on-chip.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Active power-gating-induced power/ground noise alleviation using parasitic capacitance of on-chip memories.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip.
ACM J. Emerg. Technol. Comput. Syst., 2012

2011
Satisfiability Modulo Graph Theory for Task Mapping and Scheduling on Multiprocessor Systems.
IEEE Trans. Parallel Distributed Syst., 2011

Coroutine-Based Synthesis of Efficient Embedded Software From SystemC Models.
IEEE Embed. Syst. Lett., 2011

Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011

A NoC Traffic Suite Based on Real Applications.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011

A Hardware-Software Collaborated Method for Soft-Error Tolerant MPSoC.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011

2010
UNION: A unified inter/intra-chip optical network for chip multiprocessors.
Proceedings of the 2010 IEEE/ACM International Symposium on Nanoscale Architectures, 2010

A Hierarchical Hybrid Optical-Electronic Network-on-Chip.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010

Crosstalk noise and bit error rate analysis for optical network-on-chip.
Proceedings of the 47th Design Automation Conference, 2010

2009
3D optical networks-on-chip (NoC) for multiprocessor systems-on-chip (MPSoC).
Proceedings of the IEEE International Conference on 3D System Integration, 2009


  Loading...