Motoki Amagasaki

Orcid: 0000-0002-5196-9765

According to our database1, Motoki Amagasaki authored at least 81 papers between 2006 and 2024.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Multi-Camera People Tracking With Spatio-Temporal and Group Considerations.
IEEE Access, 2024

2023
An eFPGA Generation Suite with Customizable Architecture and IDE.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., March, 2023

A Lightweight Deep Neural Network Using a Mixer-Type Nonlinear Vector Autoregression.
IEEE Access, 2023

A Deep Neural Network Translator for Edge Site Implementation.
Proceedings of the Eleventh International Symposium on Computing and Networking, CANDAR 2023, 2023

2022
A Posit Based Multiply-accumulate Unit with Small Quire Size for Deep Neural Networks.
IPSJ Trans. Syst. LSI Des. Methodol., 2022

Reconfigurable Neural Network Accelerator and Simulator for Model Implementation.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2022

2021
Extension of Convolutional Neural Network along Temporal and Vertical Directions for Precipitation Downscaling.
CoRR, 2021

Use of 1D-CNN for input data size reduction of LSTM in Hourly Rainfall-Runoff modeling.
CoRR, 2021

Development of Quantized DNN Library for Exact Hardware Emulation.
CoRR, 2021

Capabilities of Deep Learning Models on Learning Physical Relationships: Case of Rainfall-Runoff Modeling with LSTM.
CoRR, 2021

Multi-Time-Scale Input Approaches for Hourly-Scale Rainfall-Runoff Modeling based on Recurrent Neural Networks.
CoRR, 2021

Automatic executable code generation for DNN accelerator ReNA.
Proceedings of the Ninth International Symposium on Computing and Networking, 2021

Automation of Domain-specific FPGA-IP Generation and Test.
Proceedings of the HEART '21: 11th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies, 2021

2020
R-GCN Based Function Inference for Gate-level Netlist.
IPSJ Trans. Syst. LSI Des. Methodol., 2020

Relationship between Recognition Accuracy and Numerical Precision in Convolutional Neural Network Models.
IEICE Trans. Inf. Syst., 2020

Image Search System Based on Feature Vectors of Convolutional Neural Network.
Proceedings of the 2020 IEEE Region 10 Conference, 2020

A Microcode-based Control Unit for Deep Learning Processors.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, 2020

Architecture-aware Cost Function for 3D FPGA Placement Using Convolutional Neural Network.
Proceedings of the Eighth International Symposium on Computing and Networking, 2020

2019
A SLM-based overlay architecture for fine-grained virtual FPGA.
IEICE Electron. Express, 2019

A Novel SLM-Based Virtual FPGA Overlay Architecture.
Proceedings of the 13th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2019

Deep Learning Framework with Arbitrary Numerical Precision.
Proceedings of the 13th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2019

A Quantized Neural Network Library for Proper Implementation of Hardware Emulation.
Proceedings of the Seventh International Symposium on Computing and Networking Workshops, 2019

2018
Enabling FPGA-as-a-Service in the Cloud with hCODE Platform.
IEICE Trans. Inf. Syst., 2018

Three Dimensional FPGA Architecture with Fewer TSVs.
IEICE Trans. Inf. Syst., 2018

FPGA Structure.
Proceedings of the Principles and Structures of FPGAs., 2018

2017
Towards Open-HW: A Platform to Design, Share and Deploy FPGA Accelerators in Low Cost.
IPSJ Trans. Syst. LSI Des. Methodol., 2017

Physical Fault Detection and Recovery Methods for System-LSI Loaded FPGA-IP Core.
IEICE Trans. Inf. Syst., 2017

High-level Synthesis based on Parallel Design Patterns using a Functional Language.
Proceedings of the 8th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies, 2017

FPGA based ASIC Emulator with High Speed Optical Serial Links.
Proceedings of the 8th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies, 2017

hCODE 2.0: An open-source toolkit for building efficient FPGA-enabled clouds.
Proceedings of the International Conference on Field Programmable Technology, 2017

2016
A Study of Heterogeneous Computing Design Method based on Virtualization Technology.
SIGARCH Comput. Archit. News, 2016

SLM: A Scalable Logic Module Architecture with Less Configuration Memory.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2016

A novel soft error tolerant FPGA architecture.
Proceedings of the 2016 IFIP/IEEE International Conference on Very Large Scale Integration, 2016

An area compact soft error resident circuit for FPGA.
Proceedings of the International Conference on IC Design and Technology, 2016

hCODE: An open-source platform for FPGA accelerators.
Proceedings of the 2016 International Conference on Field-Programmable Technology, 2016

2015
A 3D FPGA Architecture to Realize Simple Die Stacking.
IPSJ Trans. Syst. LSI Des. Methodol., 2015

Fault-Tolerant FPGA: Architectures and Design for Programmable Logic Intellectual Property Core in SoC.
IEICE Trans. Inf. Syst., 2015

Architecture exploration of 3D FPGA to minimize internal layer connection.
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015

Simple wafer stacking 3D-FPGA architecture.
Proceedings of the 2015 International Conference on IC Design & Technology, 2015

2014
Blokus Duo engine on a Zynq.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014

Zyndroid: An Android platform for software/hardware coprocessing.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014

A novel three-dimensional FPGA architecture with high-speed serial communication links.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014

A logic cell architecture exploiting the shannon expansion for the reduction of configuration memory.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

2013
A reconfigurable Java accelerator with software compatibility for embedded systems.
SIGARCH Comput. Archit. News, 2013

FPGA Design Framework Combined with Commercial VLSI CAD.
IEICE Trans. Inf. Syst., 2013

Three-dimensional stacking FPGA architecture using face-to-face integration.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

An FPGA design and implementation framework combined with commercial VLSI CADs.
Proceedings of the 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2013

An automatic FPGA design and implementation framework.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

Defect-robust FPGA architectures for intellectual property cores in system LSI.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

A novel FPGA design framework with VLSI post-routing performance analysis (abstract only).
Proceedings of the 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2013

2012
An Easily Testable Routing Architecture and Prototype Chip.
IEICE Trans. Inf. Syst., 2012

COGRE: A Novel Compact Logic Cell Architecture for Area Minimization.
IEICE Trans. Inf. Syst., 2012

Fault-Injection Analysis to Estimate SEU Failure in Time by Using Frame-Based Partial Reconfiguration.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2012

Evaluation of fault tolerant technique based on homogeneous FPGA architecture.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

A novel physical defects recovery technique for FPGA-IP cores.
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2012

A Bitstream Relocation Technique to Improve Flexibility of Partial Reconfiguration.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2012

Fault Recovery Technique for TMR Softcore Processor System Using Partial Reconfiguration.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2012

Accelerated evaluation of SEU failure-in-time using frame-based partial reconfiguration.
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012

Fault detection and avoidance of FPGA in various granularities.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

Designing Flexible Reconfigurable Regions to Relocate Partial Bitstreams.
Proceedings of the 2012 IEEE 20th Annual International Symposium on Field-Programmable Custom Computing Machines, 2012

2011
Parallelization of the channel width search for FPGA routing.
SIGARCH Comput. Archit. News, 2011

Improving the Soft-error Tolerability of a Soft-core Processor on.
J. Next Gener. Inf. Technol., 2011

A Genuine Power-Gatable Reconfigurable Logic Chip with FeRAM Cells.
IEICE Trans. Electron., 2011

A Novel Soft Error Detection and Correction Circuit for Embedded Reconfigurable Systems.
IEEE Embed. Syst. Lett., 2011

An easily testable routing architecture of FPGA.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011

An Easily Testable Routing Architecture and Efficient Test Technique.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

A novel reconfigurable logic device base on 3D stack technology.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

2010
A Variable-Grain Logic Cell and Routing Architecture for a Reconfigurable IP Core.
ACM Trans. Reconfigurable Technol. Syst., 2010

Power-aware FPGA routing fabrics and design tools.
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010

A robust reconfigurable logic device based on less configuration memory logic cell.
Proceedings of the International Conference on Field-Programmable Technology, 2010

COGRE: A Configuration Memory Reduced Reconfigurable Logic Cell Architecture for Area Minimization.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

First Prototype of a Genuine Power-Gatable Reconfigurable Logic Chip with FeRAM Cells.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

Improving the Robustness of a Softcore Processor against SEUs by Using TMR and Partial Reconfiguration.
Proceedings of the 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2010

2009
A novel states recovery technique for the TMR softcore processor.
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009

A Novel Local Interconnect Architecture for Variable Grain Logic Cell.
Proceedings of the Reconfigurable Computing: Architectures, 2009

Memory Sharing Approach for TMR Softcore Processor.
Proceedings of the Reconfigurable Computing: Architectures, 2009

2008
An Embedded Reconfigurable IP Core with Variable Grain Logic Cell Architecture.
Int. J. Reconfigurable Comput., 2008

2007
An Embedded Reconfigurable Logic Core based on Variable Grain Logic Cell Architecture.
Proceedings of the 2007 International Conference on Field-Programmable Technology, 2007

A Variable Grain Logic Cell Architecture for Reconfigurable Logic Cores.
Proceedings of the FPL 2007, 2007

Evaluating Variable-Grain Logic Cells Using Heterogeneous Technology Mapping.
Proceedings of the Reconfigurable Computing: Architectures, 2007

2006
Evaluation of Variable Grain Logic Cell Architecture for Reconfigurable Device.
Proceedings of the IFIP VLSI-SoC 2006, 2006


  Loading...