Nikos Pleros

Orcid: 0000-0003-2931-4540

According to our database1, Nikos Pleros authored at least 140 papers between 2006 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Coexistence in future optical access networks from an operator's perspective [Invited].
J. Opt. Commun. Netw., January, 2024

2023
Mutual Information-Based Neural Network Distillation for Improving Photonic Neural Network Training.
Neural Process. Lett., December, 2023

Mixed-precision quantization-aware training for photonic neural networks.
Neural Comput. Appl., October, 2023

Robust Architecture-Agnostic and Noise Resilient Training of Photonic Deep Learning Models.
IEEE Trans. Emerg. Top. Comput. Intell., February, 2023

Photonic Neural Networks and Optics-informed Deep Learning Fundamentals.
CoRR, 2023

Non-negative isomorphic neural networks for photonic neuromorphic accelerators.
CoRR, 2023

Perfect Linear Optics using Silicon Photonics.
CoRR, 2023

DDOS attack identification via a silicon photonic Deep Neural Network with 50 GHz input and weight update.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2023

Programmable Photonic Neural Networks for advanced Machine Learning tasks.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2023

Programmable tanh- and ReLU-like Optoelectronic Activation Functions for Neuromorphic Photonic Circuits.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2023

On-Chip Universal Linear Optics using a 4x4 Silicon Photonic Coherent Crossbar.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2023

Optical RAM and Optical Cache Memories for Computing.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2023

Quantization-Aware Training for Mixed Precision Photonic Neural Networks.
Proceedings of the 33rd IEEE International Workshop on Machine Learning for Signal Processing, 2023

Multi-RAT Fiber-Wireless Technologies towards 6G Networks.
Proceedings of the 23rd International Conference on Transparent Optical Networks, 2023

An Enhanced Medium-Transparent MAC Protocol for Multi-Service FiWi 5G Transport Networks.
Proceedings of the IEEE International Conference on Communications, 2023

An Optimized Medium-Transparent MAC Protocol for Multi-Service FiWi 5G Transport Networks.
Proceedings of the IEEE Global Communications Conference, 2023

2022
Traffic-Aware Coordinated Beamforming for mmWave Backhauling of 5G Dense Networks.
IEEE Trans. Wirel. Commun., 2022

Quantization-aware training for low precision photonic neural networks.
Neural Networks, 2022

WDM equipped universal linear optics for programmable neuromorphic photonic processors.
Neuromorph. Comput. Eng., 2022

Live demonstration of an SDN-reconfigurable, FPGA-based TxRx for an analog-IFoF/mmWave radio access network in an MNO's infrastructure.
JOCN, 2022

A Practical Shared Optical Cache With Hybrid MWSR/R-SWMR NoC for Multicore Processors.
ACM J. Emerg. Technol. Comput. Syst., 2022

Coherent photonic crossbar as a universal linear operator.
CoRR, 2022

Normalized Post-training Quantization for Photonic Neural Networks.
Proceedings of the IEEE Symposium Series on Computational Intelligence, 2022


Temperature Tolerant On-Chip WDM Silicon Photonic Transmitter and AWGR-based Routing Interconnects.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

16-bit (4×4) Optical Random Access Memory (RAM) Bank.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

Caching with light: First demonstration of an Optical Cache Memory Prototype.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

Optical Content Addressable Memory Matchline and RAM table Encoding/Decoding using an integrated CAM cell.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

Photonic Neuromorphic Computing: Architectures, Technologies, and Training Models.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

Early Detection of DDoS Attacks using Photonic Neural Networks.
Proceedings of the 14th IEEE Image, Video, and Multidimensional Signal Processing Workshop, 2022

ONE: Online Energy-efficient User Association, VNF Placement and Traffic Routing in 6G HetNets.
Proceedings of the IEEE Globecom 2022 Workshops, 2022

Learning photonic neural network initialization for noise-aware end-to-end fiber transmission.
Proceedings of the 30th European Signal Processing Conference, 2022

A Robust, Quantization-Aware Training Method for Photonic Neural Networks.
Proceedings of the Engineering Applications of Neural Networks, 2022

Wavelength Reconfigurable Point-to-Multipoint Fiber-Wireless Fronthaul with 10 Gb/s traffic for Network Sharing Applications.
Proceedings of the 13th International Symposium on Communication Systems, 2022

2021
A Gated Service MAC Protocol for Sub-Ms Latency 5G Fiber-Wireless mmWave C-RANs.
IEEE Trans. Wirel. Commun., 2021

Training Deep Photonic Convolutional Neural Networks With Sinusoidal Activations.
IEEE Trans. Emerg. Top. Comput. Intell., 2021

Reconfigurable Fiber Wireless IFoF Fronthaul With 60 GHz Phased Array Antenna and Silicon Photonic ROADM for 5G mmWave C-RANs.
IEEE J. Sel. Areas Commun., 2021

Performance analysis of a 1024-port Hipoλaos OPS in DCN, HPC, and 5G fronthauling Ethernet applications.
JOCN, 2021

Transition technologies towards 6G networks.
EURASIP J. Wirel. Commun. Netw., 2021

Energy-Proportional Data Center Network Architecture Through OS, Switch and Laser Co-design.
CoRR, 2021

Lossless Silicon Photonic ROADM based on a Si3N4 platform and a Monolithically Integrated Erbium Doped Amplifier.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2021

4×10 Gb/s WDM Fiber Wireless multi-IFoF Reconfigurable Fronthaul using a low-loss Si3N4 ROADM for mmWave 5G.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2021

A Silicon Photonic Coherent Neuron with 10GMAC/sec processing line-rate.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2021

20 Gb/s WDM Optical RAM Row Architecture based on four Monolithic Integrated InP Memory Cells.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2021

Pho$: A Case for Shared Optical Cache Hierarchies.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

Energy-efficient Joint Computational and Network Resource Planning in Beyond 5G Networks.
Proceedings of the IEEE Global Communications Conference, 2021

Compute with Light: Architectures, Technologies and Training Models for Neuromorphic Photonic Circuits.
Proceedings of the European Conference on Optical Communication, 2021

25GMAC/sec/axon photonic neural networks with 7GHz bandwidth optics through channel response-aware training.
Proceedings of the European Conference on Optical Communication, 2021

A Centralized and Reconfigurable 4x2.5Gb/s Fiber-Wireless mmWave ronthaul for Network Sharing Applications.
Proceedings of the European Conference on Optical Communication, 2021

Silicon-integrated coherent neurons with 32GMAC/sec/axon compute line-rates using EAM-based input and weighting cells.
Proceedings of the European Conference on Optical Communication, 2021

2020
Initializing photonic feed-forward neural networks using auxiliary tasks.
Neural Networks, 2020

Analog fiber-wireless downlink transmission of IFoF/mmWave over in-field deployed legacy PON infrastructure for 5G fronthauling.
JOCN, 2020

Linearity Measurements on a 5G mmWave Fiber Wireless IFoF Fronthaul Link With Analog RF Beamforming and 120° Degrees Steering.
IEEE Commun. Lett., 2020

Towards a Flipped Optical Laboratory.
Proceedings of the Cross Reality and Data Science in Engineering, 2020

A 25.6 Tbps capacity 1024-port Hipoλaos Optical Packet Switch Architecture for disaggregated datacenters.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2020

Flexible 360° 5G mmWave small Cell Coverage through WDM 4×1 Gb/s Fiber Wireless Fronthaul and a Si3N4 OADM-Assisted Massive MIMO Phased Array Antenna.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2020

A 400 Gb/s O-Band WDM (8×50 Gb/s) Silicon Photonic Ring Modulator-Based Transceiver.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2020

All-Optical Recurrent Neural Network with Sigmoid Activation Function.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2020

Automated Thermal Drift Compensation in WDM-Based Silicon Photonic Multi-Socket Interconnect Systems.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2020

Adaptive Initialization for Recurrent Photonic Networks using Sigmoidal Activations.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A Framework to Support the 5G Densification.
Proceedings of the Artificial Intelligence Applications and Innovations. AIAI 2020 IFIP WG 12.5 International Workshops, 2020

Low Voltage Silicon Photonic Modulators and Switches for High Radix Integrated Transmitters.
Proceedings of the 22nd International Conference on Transparent Optical Networks, 2020

Training Noise-Resilient Recurrent Photonic Networks for Financial Time Series Analysis.
Proceedings of the 28th European Signal Processing Conference, 2020

End-to-end optical packet switching with burst-mode reception at 25 Gb/s through a 1024-port 25.6 Tb/s capacity Hipoλaos Optical Packet Switch.
Proceedings of the European Conference on Optical Communications, 2020

A Flexible and Reconfigurable Si3N4 ROADM-enabled 5G mmWave IFoF Fiber Wireless Fronthaul with 60 GHz beamsteering capabilities.
Proceedings of the European Conference on Optical Communications, 2020

Time-series classification with an all-optical recurrent neuron.
Proceedings of the European Conference on Optical Communications, 2020

Demonstration of Low-Latency ETH-switched DataCenter and 5G Fronthaul Networks Using the 1024-port Hipoλaos Optical Packet Switch.
Proceedings of the European Conference on Optical Communications, 2020

2019
Digital all-optical Physical-layer Network Coding for 2Gbaud DQPSK signals in mm-wave radio-over-fiber networks.
Opt. Switch. Netw., 2019

Next Generation Fiber-Wireless Fronthaul for 5G mmWave Networks.
IEEE Commun. Mag., 2019

DSP-free 52km-long dispersion uncompensated optical link employing a 40 Gb/s O-band silicon microring modulator assembled with a 1V-CMOS driver.
Proceedings of the 2019 24th OptoElectronics and Communications Conference (OECC) and 2019 International Conference on Photonics in Switching and Computing (PSC), 2019

Optics for Disaggregating Data Centers and Disintegrating Computing.
Proceedings of the Optical Network Design and Modeling, 2019

Dual-Layer Locality-Aware Optical Interconnection Architecture for Latency-Critical Resource Disaggregation Environments.
Proceedings of the Optical Network Design and Modeling, 2019

Analog IFoF/mmWave 5G Optical Fronthaul Architecture for Hot-Spots Using Multi-channel OFDM-Based WDM Signals.
Proceedings of the Optical Network Design and Modeling, 2019

A Gated Service MAC Protocol for 5G Fiber-Wireless Cloud-Radio Access Networks.
Proceedings of the Optical Network Design and Modeling, 2019

A 4×40 Gb/s O-Band WDM Silicon Photonic Transmitter Based on Micro-Ring Modulators.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2019

Experimental Demonstration of an Optical Neuron with a Logistic Sigmoid Activation Function.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2019

A Fiber Wireless A-RoF/IFoF Uplink Transmission of up to 0.6 Gb/s User Data Rate Over a 32-Element 60 GHz Beam-Steering Antenna for 5G Fronthaul Networks.
Proceedings of the Internet of Things, Infrastructures and Mobile Applications - Proceedings of the 13th IMCL Conference, Thessaloniki, Greece, 31 October, 2019

Plasmonic Waveguides Co-Integrated with Si3N4 Waveguide Platform for Integrated Biosensors.
Proceedings of the 21st International Conference on Transparent Optical Networks, 2019

Delay Analysis of a Gated Service MAC Protocol for Fiber-Wireless 5G MmWave C-RANs.
Proceedings of the 2019 IEEE International Conference on Communications, 2019

Variance Preserving Initialization for Training Deep Neuromorphic Photonic Networks with Sinusoidal Activations.
Proceedings of the IEEE International Conference on Acoustics, 2019

Converged Analog Fiber-Wireless Point-to-Multipoint Architecture for eCPRI 5G Fronthaul Networks.
Proceedings of the 2019 IEEE Global Communications Conference, 2019

Analog Radio-over-Fiber 5G Fronthaul Systems: blueSPACE and 5G-PHOS Projects Convergence.
Proceedings of the European Conference on Networks and Communications, 2019

A 5G C-RAN Architecture for Hot-Spots: OFDM based Analog IFoF PHY and MAC Layer Design.
Proceedings of the European Conference on Networks and Communications, 2019

2018
High-Port and Low-Latency Optical Switches for Disaggregated Data Centers: The Hipoλaos Switch Architecture [Invited].
JOCN, 2018

Optical Thresholding Device with a Sigmoidal Transfer Function.
Proceedings of the Photonics in Switching and Computing, 2018

Silicon Photonics and Plasmonics towards Network-on-Chip Functionalities for Disaggregated Computing.
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

O-band Energy-efficient Broadcast-friendly Interconnection Scheme with SiPho Mach-Zehnder Modulator (MZM) & Arrayed Waveguide Grating Router (AWGR).
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

O-band Silicon Photonics 8×8 Arrayed Waveguide Grating Router (AWGR) for 1.6 Tb/s On-chip Routing.
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

A 10Gb/s All-Optical Match-line for optical Content Addressable Memory (CAM) Rows.
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

Sub-μs Latency High-Port Optical Packet Switch Fabrics for Disaggregated Computing: The Hipoλaos OPS Architecture.
Proceedings of the 2018 20th International Conference on Transparent Optical Networks (ICTON), 2018

QoS-Aware Resource Management for Converged Fiber Wireless 5G Fronthaul Networks.
Proceedings of the IEEE Global Communications Conference, 2018

A 6-Band 12Gb/s IFoF/V-Band Fiber-Wireless Fronthaul Link Using an InP Externally Modulated Laser.
Proceedings of the European Conference on Optical Communication, 2018

A 1024-Port sub-µsee Latency Optical Packet Switch Using the Hipoλaos λ-Routed Modified Spanke Switch Architecture.
Proceedings of the European Conference on Optical Communication, 2018

Multicasting in a 256-Port Sub-#181;sec Latency Hipoλaos Switch Architecture for Disaggregated DataCenters.
Proceedings of the European Conference on Optical Communication, 2018

Chip-to-Chip Interconnect for 8-Socket Direct Connectivity Using 25Gb/s O-Band Integrated Transceiver and Routing Circuits.
Proceedings of the European Conference on Optical Communication, 2018


Fiber-Wireless Fronthaul/Backhaul Network Architectures for 5G.
Proceedings of the 23rd IEEE International Workshop on Computer Aided Modeling and Design of Communication Links and Networks, 2018


DSP enabled Fiber-Wireless IFoF/mmWave link for 5G Analog Mobile Fronthaul.
Proceedings of the IEEE 5G World Forum, 2018

2017
Electro-optic switches based on space switching of multiplexed WDM signals: Blocking vs non-blocking design trade-offs.
Opt. Switch. Netw., 2017

Quality of Service Provisioning in High-Capacity 5G Fronthaul/Backhaul Networks.
Proceedings of the Interactive Mobile Communication Technologies and Learning - Proceedings of the 11th IMCL Conference, 30 November, 2017

5G Small-Cell Networks Exploiting Optical Technologies with mmWave Massive MIMO and MT-MAC Protocols.
Proceedings of the Interactive Mobile Communication Technologies and Learning - Proceedings of the 11th IMCL Conference, 30 November, 2017

Towards high capacity and low latency backhauling in 5G: The 5G STEP-FWD vision.
Proceedings of the 2017 19th International Conference on Transparent Optical Networks (ICTON), 2017

Low-cost vertical coupling schemes for optical I/Os and 3D integration in CMOS photonic integrated circuits.
Proceedings of the 2017 19th International Conference on Transparent Optical Networks (ICTON), 2017

All-optical digital physical-layer network coding for DPSK mm-wave Radio-over-Fiber networks.
Proceedings of the 2017 19th International Conference on Transparent Optical Networks (ICTON), 2017

Optical interconnect and memory components for disaggregated computing.
Proceedings of the 2017 19th International Conference on Transparent Optical Networks (ICTON), 2017

Medium Transparent MAC access schemes for seamless packetized fronthaul in mm-wave 5G picocellular networks.
Proceedings of the 2017 19th International Conference on Transparent Optical Networks (ICTON), 2017

Software-defined board- and chip-level optical interconnects for multi-socket communication and disaggregated computing.
Proceedings of the 2nd International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems, 2017

On converged Medium-Transparent MAC protocols for mm-wave fiber-wireless networks.
Proceedings of the 22nd IEEE International Workshop on Computer Aided Modeling and Design of Communication Links and Networks, 2017

2016
An optically-enabled chip-multiprocessor architecture using a single-level shared optical cache memory.
Opt. Switch. Netw., 2016

Network Planning for 80211ad and MT-MAC 60 GHz Fiber-Wireless Gigabit Wireless Local Area Networks Over Passive Optical Networks.
JOCN, 2016

First demonstration of an optical content addressable memory (CAM) cell at 10 Gb/s.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2016

Optical static RAM cell using a monolithically integrated InP Flip-Flop and wavelength-encoded signals.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2016

All-optical digital network coding for very high-throughput mm-wave Fiber-Wireless networks.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2016

All-optical tag comparator for 10Gb/s WDM-enabled optical cache memory architectures.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2016

III-V/SOI photonic crystal nanolaser for high-speed wavelength conversion and memory operation.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2016

Single Mode Optical Interconnects for future data centers.
Proceedings of the 18th International Conference on Transparent Optical Networks, 2016

Converged optical-wireless access networks enabling fixed and 60 GHz connectivity in WDM-PONs.
Proceedings of the 18th International Conference on Transparent Optical Networks, 2016

Optical interconnect and memory technologies for next generation computing.
Proceedings of the 18th International Conference on Transparent Optical Networks, 2016

Bringing OptoBoards to HPC-scale environments: An OptoHPC simulation engine.
Proceedings of the 1st International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems, 2016

Delay Analysis of Converged Medium Transparent Fixed Service Optical-Wireless Networks.
Proceedings of the 2016 IEEE Global Communications Conference, 2016

2015
PhoxTroT - a European initiative toward low cost and low power photonic interconnects for data centres.
Proceedings of the 17th International Conference on Transparent Optical Networks, 2015

WDM-enabled optical RAM and optical cache memory architectures for Chip Multiprocessors.
Proceedings of the 17th International Conference on Transparent Optical Networks, 2015

High-Speed Optical Cache Memory as Single-Level Shared Cache in Chip-Multiprocessor Architectures.
Proceedings of the 2015 Workshop on Exploiting Silicon Photonics for Energy-Efficient High Performance Computing, 2015

Introducing call admission control policies in handoff algorithms' modeling for radio-over-fiber networks at 60 GHz.
Proceedings of the International Conference on Computer, 2015

2014
Client-Weighted Medium-Transparent MAC Protocol for User-Centric Fairness in 60 GHz Radio-Over-Fiber WLANs.
JOCN, 2014

Photonic interconnects for data centers.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2014

A novel chip-multiprocessor architecture with optically interconnected shared L1 optical cache memory.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2014

A 16GHz optical cache memory architecture for set-associative mapping in chip multiprocessors.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2014

Heterogeneous 60 GHz / 5 GHz broadband optical wireless systems supporting dynamic bandwidth allocation.
Proceedings of the 16th International Conference on Transparent Optical Networks, 2014

Eight-channel second-order ring resonator based SOI multiplexers/demultiplexers for optical interconnects.
Proceedings of the European Conference on Optical Communication, 2014

A WDM RoF system for heterogeneous 5 GHz/60 GHz wireless applications in MTMAC-enabled networks.
Proceedings of the 19th IEEE International Workshop on Computer Aided Modeling and Design of Communication Links and Networks, 2014

2013
Nonlinear FDTD method for the simulation of the optical effects in silicon waveguides.
Proceedings of the 17th Panhellenic Conference on Informatics, 2013

Optical RAM row access and column decoding for WDM-formatted optical words.
Proceedings of the 2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), 2013

Very High Throughput 60GHz wireless enterprise networks over GPON infrastructure.
Proceedings of the IEEE International Conference on Communications, 2013

Development of a Finite Element Method Mode Solver Application for Optical Waveguides.
Proceedings of the Local Proceedings of the Sixth Balkan Conference in Informatics, 2013

2011
Performance Analysis of a Medium-Transparent MAC Protocol for 60GHz Radio-over-Fiber Networks.
Proceedings of the Global Communications Conference, 2011

2009
A Handover Scheme Based on Moving Extended Cells for 60 GHz Radio-Over-Fiber Networks.
Proceedings of IEEE International Conference on Communications, 2009

2008
A moving extended cell concept for seamless communication in 60 GHz radio-over-fiber networks.
IEEE Commun. Lett., 2008

2006
All-Optical Signal Processing Using Integrated Mach Zehnder Interferometric Switches for 40 Gb/s All-Optical Label-Swapped Networks.
Proceedings of the 3rd International Conference on Broadband Communications, 2006


  Loading...