Dionysios I. Reisis

Orcid: 0000-0002-9265-3599

According to our database1, Dionysios I. Reisis authored at least 85 papers between 1987 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Neural Network-Based Solar Irradiance Forecast for Edge Computing Devices.
Inf., 2023

2022
Live demonstration of an SDN-reconfigurable, FPGA-based TxRx for an analog-IFoF/mmWave radio access network in an MNO's infrastructure.
JOCN, 2022

Resources and Power Efficient FPGA Accelerators for Real-Time Image Classification.
J. Imaging, 2022

SoC FPGA Acceleration for Semantic Segmentation of Clouds in Satellite Images.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022

Combining Fault Tolerance Techniques and COTS SoC Accelerators for Payload Processing in Space.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022


2021
LDPC Hardware Acceleration in 5G Open Radio Access Network Platforms.
IEEE Access, 2021

Towards sharing one FPGA SoC for both low-level PHY and high-level AI/ML computing at the edge.
Proceedings of the IEEE International Mediterranean Conference on Communications and Networking, 2021

The LDPC Challenge in Software-Based 5G New Radio Physical Layer Processing.
Proceedings of the IEEE International Mediterranean Conference on Communications and Networking, 2021

FPGA & VPU Co-Processing in Space Applications: Development and Testing with DSP/AI Benchmarks.
Proceedings of the 28th IEEE International Conference on Electronics, 2021

Demonstration of FPGA-based A-IFoF/mmWave transceiver integration in mobile infrastructure for beyond 5G transport.
Proceedings of the European Conference on Optical Communication, 2021

2019
Acceleration techniques and evaluation on multi-core CPU, GPU and FPGA for image processing and super-resolution.
J. Real Time Image Process., 2019

High Performance Accelerator for CNN Applications.
Proceedings of the 29th International Symposium on Power and Timing Modeling, 2019

Design of a Real-Time DSP Engine on RF-SoC FPGA for 5G Networks.
Proceedings of the Optical Network Design and Modeling, 2019

2018
Parallel Memory Accessing for FFT Architectures.
J. Signal Process. Syst., 2018

NEPHELE: An End-to-End Scalable and Dynamically Reconfigurable Optical Architecture for Application-Aware SDN Cloud Data Centers.
IEEE Commun. Mag., 2018

Scheduler Accelerator for TDMA Data Centers.
Proceedings of the 26th Euromicro International Conference on Parallel, 2018

NEPHELE: Vertical Integration and Real-Time Demonstration of an Optical Datacenter Network.
Proceedings of the 2018 20th International Conference on Transparent Optical Networks (ICTON), 2018

Parity Based In-Place FFT Architecture for Continuous Flow Applications.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

Parallel Robust Absolute Orientation on FPGA for Vision and Robotics.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

Real Time Demonstration of an End-to-End Optical Datacenter Network with Dynamic Bandwidth Allocation.
Proceedings of the European Conference on Optical Communication, 2018

2017
SDN control framework with dynamic resource assignment for slotted optical datacenter networks.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2017

Slotted TDMA and optically switched network for disaggregated datacenters.
Proceedings of the 2017 19th International Conference on Transparent Optical Networks (ICTON), 2017

2016
Reduced Complexity Superresolution for Low-Bitrate Video Compression.
IEEE Trans. Circuits Syst. Video Technol., 2016

An efficient multiple precision floating-point Multiply-Add Fused unit.
Microelectron. J., 2016

A Co-Design Approach For Rapid Prototyping Of Image Processing On SoC FPGAs.
Proceedings of the 20th Pan-Hellenic Conference on Informatics, 2016

Switching functions of a data center Top-of-Rack (ToR).
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

Agora: Agent and market-based resource management for many-core systems.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

A scalable optically-switched datacenter network with multicasting.
Proceedings of the European Conference on Networks and Communications, 2016

2015
Exact Max-Log MAP Soft-Output Sphere Decoding via Approximate Schnorr-Euchner Enumeration.
IEEE Trans. Veh. Technol., 2015

A configurable transmitter architecture & organization for XG-PON OLT/ONU/ONT network elements.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

Towards real-time neuronal connectivity assessment: A scalable pipelined parallel generalized partial directed coherence engine.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

2014
Neuronal connectivity assessment for epileptic seizure prevention: Parallelizing the generalized partial directed coherence on many-core platforms.
Proceedings of the XIVth International Conference on Embedded Computer Systems: Architectures, 2014

A MapReduce framework implementation for Network-on-Chip platforms.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

XG-PON optical network unit downstream FEC design based on truncated Reed-Solomon code.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

Using high-level synthesis to build memory and datapath optimized DSP accelerators.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

2013

Single-image super-resolution using low complexity adaptive iterative back-projection.
Proceedings of the 18th International Conference on Digital Signal Processing, 2013

2012
Design and Comparison of FFT VLSI Architectures for SoC Telecom Applications with Different Flexibility, Speed and Complexity Trade-Offs.
Circuits Syst. Signal Process., 2012

Conflict free, parallel memory access for radix-2 FFT processors.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

Signal processing for deep-sea observatories with reconfigurable hardware.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

2011
A Control-Theoretic Approach for Efficient Design of Filters in DAC and Digital Audio Amplifiers.
Circuits Syst. Signal Process., 2011

An efficient multiple precision floating-point multiplier.
Proceedings of the 18th IEEE International Conference on Electronics, Circuits and Systems, 2011

Study of interpolation filters for motion estimation with application in H.264/AVC encoders.
Proceedings of the 18th IEEE International Conference on Electronics, Circuits and Systems, 2011

Configurable baseband digital transceiver for Gbps wireless 60 GHz communications.
Proceedings of the 18th IEEE International Conference on Electronics, Circuits and Systems, 2011

Customizing a VLIW Chip Multiprocessor for Motion Estimation Algorithms.
Proceedings of the ARCS 2011, 2011

2010
Fully Systolic FFT Architecture for Giga-sample Applications.
J. Signal Process. Syst., 2010

A Graphics Parallel Memory Organization Exploiting Request Correlations.
IEEE Trans. Computers, 2010

A continuous-flow, Variable-Length FFT SDF architecture.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

An efficient dual-mode floating-point Multiply-Add Fused Unit.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

2009
A configurable length, Fused Multiply-Add floating point unit for a VLIW processor.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2009, 2009

Evaluating the performance of a configurable, extensible VLIW processor in FFT execution.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

Programmable Motion Estimation architecture.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

Efficient cascaded VLSI FFT architecture for OFDM systems.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

2008
Conflict-Free Parallel Memory Accessing Techniques for FFT Architectures.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

A real-time H.264/AVC VLSI encoder architecture.
J. Real Time Image Process., 2008

A real-time motion estimation FPGA architecture.
J. Real Time Image Process., 2008

Customization of an embedded RISC CPU with SIMD extensions for video encoding: A case study.
Integr., 2008

Addressing technique for parallel memory accessing in radix-2 FFT processors.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

2007
High Performance 16K, 64K, 256K complex points VLSI Systolic FFT Architectures.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

2006
An approach for efficient design of digital amplifiers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Address Generation Techniques for Conflict Free Parallel Memory Accessing in FFT Architectures.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

A High Performance VLSI FFT Architecture.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

An Efficient H.264 VLSI Advanced Video Encoder.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

2003
Efficient Systolic Array Mapping of FIR Filters Used in PAM-QAM Modulators.
J. VLSI Signal Process., 2003

Hardware Architectures for the Efficient Implementation of Multi-Service Broadband Access and Multimedia Home Networks.
Telecommun. Syst., 2003

A VLSI architecture for minimizing the transmission power in OFDM transceivers.
Proceedings of the 2003 10th IEEE International Conference on Electronics, 2003

Efficient Field Processing Cores in an Innovative Protocol Processor System-on-Chip.
Proceedings of the 2003 Design, 2003

Verification of a Complex SoC: The PRO3 Case-Study.
Proceedings of the 2003 Design, 2003

2001
A Parallel VLSI Video/Communication Controller.
J. VLSI Signal Process., 2001

1999
An efficient component (IN-RAM) for buffer management and multi-protocol implementation in ATM systems.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

Design and Implementation of a Low-Cost Highly-Modular ATM Access Node Switch.
Proceedings of the 17th IASTED International Conference on Applied Informatics, 1999

Developing an Efficient Model for Evaluating WWW Search Engines.
Proceedings of the 17th IASTED International Conference on Applied Informatics, 1999

1996
An efficient shared-buffer for high speed ATM networks.
Proceedings of Third International Conference on Electronics, Circuits, and Systems, 1996

An array based system for real time buffer management.
Proceedings of Third International Conference on Electronics, Circuits, and Systems, 1996

An efficient digital FIR filter design for 64 QAM.
Proceedings of Third International Conference on Electronics, Circuits, and Systems, 1996

1994
An Efficient Network Analyser Based on Linear Array Architecture.
Parallel Algorithms Appl., 1994

1993
Parallel Computations on Reconfigurable Meshes.
IEEE Trans. Computers, 1993

1992
An Efficient Convex Hull Computation on the Reconfigurable Mesh.
Proceedings of the 6th International Parallel Processing Symposium, 1992

1991
Improved Graph Computations on the Reconfigurable Mesh.
Proceedings of the Fifth International Parallel Processing Symposium, Proceedings, Anaheim, California, USA, April 30, 1991

1989
Image Computations on Meshes with Multiple Broadcast.
IEEE Trans. Pattern Anal. Mach. Intell., 1989

1988
Data Movement Operations and Applications on Reconfigurable VLSI Arrays.
Proceedings of the International Conference on Parallel Processing, 1988

Image computations on reconfigurable VLSI arrays.
Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition, 1988

1987
VLSI Arrays with Reconfigurable Buses.
Proceedings of the Supercomputing, 1987

Parallel Image Processing On Enhanced Arrays.
Proceedings of the International Conference on Parallel Processing, 1987


  Loading...