Seungwhun Paik

According to our database1, Seungwhun Paik authored at least 22 papers between 2008 and 2016.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2016
Wakeup scheduling and its buffered tree synthesis for power gating circuits.
Integr., 2016

2014
HAPL: Heterogeneous Array of Programmable Logic Using Selective Mask Patterning.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

2012
HLS-dv: A High-Level Synthesis Framework for Dual-Vdd Architectures.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Clock Gating Synthesis of Pulsed-Latch Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

2011
Retiming Pulsed-Latch Circuits With Regulating Pulse Width.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Sampling Correlation Sources for Timing Yield Analysis of Sequential Circuits with Clock Networks.
J. Circuits Syst. Comput., 2011

Pulsed-Latch Circuits: A New Dimension in ASIC Design.
IEEE Des. Test Comput., 2011

Implementation of pulsed-latch and pulsed-register circuits to minimize clocking power.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Pulser gating: A clock gating of pulsed-latch circuits.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

Selectively patterned masks: Structured ASIC with asymptotically ASIC performance.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
HLS-l: A High-Level Synthesis Framework for Latch-Based Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Pulse Width Allocation and Clock Skew Scheduling: Optimizing Sequential Circuits Based on Pulsed Latches.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Wakeup synthesis and its buffered tree construction for power gating circuit designs.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Bounded potential slack: enabling time budgeting for dual-Vt allocation of hierarchical design.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

Statistical time borrowing for pulsed-latch circuit designs.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Semicustom Design of Zigzag Power-Gated Circuits in Standard Cell Elements.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Retiming and time borrowing: Optimizing high-performance pulsed-latch-based circuits.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

HLS-l: High-level synthesis of high performance latch-based circuits.
Proceedings of the Design, Automation and Test in Europe, 2009

Register allocation for high-level synthesis using dual supply voltages.
Proceedings of the 46th Design Automation Conference, 2009

2008
Pulse width allocation with clock skew scheduling for optimizing pulsed latch-based sequential circuits.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

Multiobjective optimization of sleep vector for zigzag power-gated circuits in standard cell elements.
Proceedings of the 45th Design Automation Conference, 2008

Statistical mixed Vt allocation of body-biased circuits for reduced leakage variation.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008


  Loading...