Seokhyeong Kang

Orcid: 0000-0003-3015-1806

According to our database1, Seokhyeong Kang authored at least 96 papers between 2010 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Construction of Realistic Place-and-Route Benchmarks for Machine Learning Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., June, 2023

Optimizing Ternary Multiplier Design With Fast Ternary Adder.
IEEE Trans. Circuits Syst. II Express Briefs, February, 2023

Hybrid Circuit Mapping: Leveraging the Full Spectrum of Computational Capabilities of Neutral Atom Quantum Computers.
CoRR, 2023

Invited: Acceleration on Physical Design: Machine Learning-based Routability Optimization.
Proceedings of the ACM International Workshop on System-Level Interconnect Pathfinding, 2023

Machine Learning-based Fast Circuit Simulation for Analog Circuit Array.
Proceedings of the 5th ACM/IEEE Workshop on Machine Learning for CAD, 2023

Soft Actor-Critic Reinforcement Learning-Based Optimization for Analog Circuit Sizing.
Proceedings of the 20th International SoC Design Conference, 2023

Advanced Parasitic Capacitance Extraction using Active Learning.
Proceedings of the 20th International SoC Design Conference, 2023

Placement Initialization via Community Detection.
Proceedings of the 20th International SoC Design Conference, 2023

Multi-Source Transfer Learning for Design Technology Co-Optimization.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

Routability Prediction and Optimization Using Explainable AI.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

ClusterNet: Routing Congestion Prediction and Optimization Using Netlist Clustering and Graph Neural Networks.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

FPGA-Based Accelerator for Rank-Enhanced and Highly-Pruned Block-Circulant Neural Networks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

RL-Legalizer: Reinforcement Learning-based Cell Priority Optimization in Mixed-Height Standard Cell Legalization.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Mobile Accelerator Exploiting Sparsity of Multi-Heads, Lines, and Blocks in Transformers in Computer Vision.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Routability Prediction using Deep Hierarchical Classification and Regression.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

MA-Opt: Reinforcement Learning-based Analog Circuit Optimization using Multi-Actors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Reinforcement Learning-based Analog Circuit Optimizer using gm/ID for Sizing.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Graph Partitioning Approach for Fast Quantum Circuit Simulation.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
CHAMP: Channel Merging Process for Cost-Efficient Highly-Pruned CNN Acceleration.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Lightweight Speaker Recognition in Poincaré Spaces.
IEEE Signal Process. Lett., 2022

A 40-nm Cryo-CMOS Quantum Controller IC for Superconducting Qubit.
IEEE J. Solid State Circuits, 2022

A Cryo-CMOS Controller IC With Fully Integrated Frequency Generators for Superconducting Qubits.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

CPR: Crossbar-grain Pruning for an RRAM-based Accelerator with Coordinate-based Weight Mapping.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

MCQA: Multi-Constraint Qubit Allocation for Near-FTQC Device.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

GAN-Dummy Fill: Timing-aware Dummy Fill Method using GAN.
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022

Design and Evaluation Frameworks for Advanced RISC-based Ternary Processor.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

A fast and scalable qubit-mapping method for noisy intermediate-scale quantum computers.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Signal-Integrity-Aware Interposer Bus Routing in 2.5D Heterogeneous Integration.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

Adaptive FSP: Adaptive Architecture Search with Filter Shape Pruning.
Proceedings of the Computer Vision - ACCV 2022, 2022

2021
Enhanced Power Delivery Pathfinding for Emerging 3-D Integration Technology.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Low-Power Ternary Multiplication Using Approximate Computing.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Variation-Aware SRAM Cell Optimization Using Deep Neural Network-Based Sensitivity Analysis.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Reinforcement Learning-Based Power Management Policy for Mobile Device Systems.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Fluctuation-Based Fade Detection for Local Scene Changes.
IEEE Access, 2021

A 5.5mW/Channel 2-to-7 GHz Frequency Synthesizable Qubit-Controlling Cryogenic Pulse Modulator for Scalable Quantum Computers.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

Memcapacitor based Minimum and Maximum Gate Design.
Proceedings of the 18th International SoC Design Conference, 2021

Giga-sample Data Acquisition Method for High-speed DDR5 SDRAM.
Proceedings of the 18th International SoC Design Conference, 2021

Components Analysis on Audio Signal Mixtures.
Proceedings of the 18th International SoC Design Conference, 2021

Data Protection Method for Flash Memory in Serial Peripheral Interface.
Proceedings of the 18th International SoC Design Conference, 2021

Comparative Analysis between Verilog and Chisel in RISC-V Core Design and Verification.
Proceedings of the 18th International SoC Design Conference, 2021

Ternary Sense Amplifier Design for Ternary SRAM.
Proceedings of the 18th International SoC Design Conference, 2021

Design and Analysis of a Low-Power Ternary SRAM.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Machine Learning Framework for Early Routability Prediction with Artificial Netlist Generator.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

MDARTS: Multi-objective Differentiable Neural Architecture Search.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Approach to Improve the Performance Using Bit-level Sparsity in Neural Networks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
A Logic Synthesis Methodology for Low-Power Ternary Logic Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

Additive Statistical Leakage Analysis Using Exponential Mixture Model.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Compact Topology-Aware Bus Routing for Design Regularity.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Proactive Scenario Characteristic-Aware Online Power Management on Mobile Systems.
IEEE Access, 2020

Low-Power 4-Trit Current-Steering DAC for Ternary Data Conversion.
Proceedings of the International SoC Design Conference, 2020

MTCMOS-based Ternary to Binary Converter.
Proceedings of the International SoC Design Conference, 2020

Extreme Low Power Technology using Ternary Arithmetic Logic Circuits via Drastic Interconnect Length Reduction.
Proceedings of the 50th IEEE International Symposium on Multiple-Valued Logic, 2020

GRLC: grid-based run-length compression for energy-efficient CNN accelerator.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020

Analysis and Solution of CNN Accuracy Reduction over Channel Loop Tiling.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Late Breaking Results: Reinforcement Learning-based Power Management Policy for Mobile Device Systems.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
SmartGrid: Video Retargeting With Spatiotemporal Grid Optimization.
IEEE Access, 2019

Power Integrity Coanalysis Methodology for Multi-Domain High-Speed Memory Systems.
IEEE Access, 2019

Multi-Threshold Voltages Graphene Barristor-Based Ternary ALU.
Proceedings of the 2019 International SoC Design Conference, 2019

Outlier-aware Time-multiplexing MAC for Higher Energy-Efficiency on CNNs.
Proceedings of the 2019 International SoC Design Conference, 2019

Ternary Logic Synthesis with Modified Quine-McCluskey Algorithm.
Proceedings of the 2019 IEEE 49th International Symposium on Multiple-Valued Logic (ISMVL), 2019

Design of Quad-Edge-Triggered Sequential Logic Circuits for Ternary Logic.
Proceedings of the 2019 IEEE 49th International Symposium on Multiple-Valued Logic (ISMVL), 2019

Fence-Region-Aware Mixed-Height Standard Cell Legalization.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Power Delivery Pathfinding for Emerging Die-to-Wafer Integration Technology.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Statistical Leakage Analysis Using Gaussian Mixture Model.
IEEE Access, 2018

SoftCorner: Relaxation of Corner Values for Deterministic Static Timing Analysis of VLSI Systems.
IEEE Access, 2018

Estimation of Leakage Distribution Utilizing Gaussian Mixture Model.
Proceedings of the International SoC Design Conference, 2018

Fast chip-package-PCB coanalysis methodology for power integrity of multi-domain high-speed memory: A case study.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

An optimal gate design for the synthesis of ternary logic circuits.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
A Novel Ternary Multiplier Based on Ternary CMOS Compact Model.
Proceedings of the 47th IEEE International Symposium on Multiple-Valued Logic, 2017

GRASP based metaheuristics for layout pattern classification.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Fast Predictive Useful Skew Methodology for Timing-Driven Placement Optimization.
Proceedings of the 54th Annual Design Automation Conference, 2017

2016
Synthesis of Dual-Mode Circuits Through Library Design, Gate Sizing, and Clock-Tree Optimization.
ACM Trans. Design Autom. Electr. Syst., 2016

Novel Adaptive Power-Gating Strategy and Tapered TSV Structure in Multilayer 3D IC.
ACM Trans. Design Autom. Electr. Syst., 2016

Wakeup scheduling and its buffered tree synthesis for power gating circuits.
Integr., 2016

Skew control methodology for useful-skew implementation.
Proceedings of the International SoC Design Conference, 2016

Novel approximate synthesis flow for energy-efficient FIR filter.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

2015
An Improved Methodology for Resilient Design Implementation.
ACM Trans. Design Autom. Electr. Syst., 2015

An optimal operating point by using error monitoring circuits with an error-resilient technique.
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015

2014
A new methodology for reduced cost of resilience.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014

2013
Enhancing the Efficiency of Energy-Constrained DVFS Designs.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Many-Core Token-Based Adaptive Power Gating.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Learning-based approximation of interconnect delay and slew in signoff timing tools.
Proceedings of the ACM/IEEE International Workshop on System Level Interconnect Prediction, 2013

Statistical analysis and modeling for error composition in approximate computation circuits.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

High-performance gate sizing with a signoff timer.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Active-mode leakage reduction with data-retained power gating.
Proceedings of the Design, Automation and Test in Europe, 2013

Smart non-default routing for clock power reduction.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
Recovery-Driven Design: Exploiting Error Resilience in Design of Energy-Efficient Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Construction of realistic gate sizing benchmarks with known optimal solutions.
Proceedings of the International Symposium on Physical Design, 2012

TAP: token-based adaptive power gating.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Sensitivity-guided metaheuristics for accurate discrete gate sizing.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

MAPG: Memory access power gating.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Accuracy-configurable adder for approximate arithmetic designs.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2010
Toward effective utilization of timing exceptions in design optimization.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

Designing a processor from the ground up to allow voltage/reliability tradeoffs.
Proceedings of the 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 2010

Recovery-driven design: a power minimization methodology for error-tolerant processor modules.
Proceedings of the 47th Design Automation Conference, 2010

Slack redistribution for graceful degradation under voltage overscaling.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010


  Loading...