Thiem Van Chu

According to our database1, Thiem Van Chu authored at least 40 papers between 2014 and 2024.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Efficient Deadlock Avoidance for 2-D Mesh NoCs That Use OQ or VOQ Routers.
IEEE Trans. Computers, May, 2024

Partial Search in a Frozen Network is Enough to Find a Strong Lottery Ticket.
CoRR, 2024

Pianissimo: A Sub-mW Class DNN Accelerator With Progressively Adjustable Bit-Precision.
IEEE Access, 2024

Toward Improving Ensemble-Based Collaborative Inference at the Edge.
IEEE Access, 2024

High Throughput Datapath Design for Vision Permutator FPGA Accelerator.
Proceedings of the IEEE International Conference on Consumer Electronics, 2024

Exploration of Hyperdimensional Computing Using Locality-Sensitive Hashing Mechanism on FPGA.
Proceedings of the IEEE International Conference on Consumer Electronics, 2024

Efficient COO to CSR Conversion for Accelerating Sparse Matrix Processing on FPGA.
Proceedings of the IEEE International Conference on Consumer Electronics, 2024

An Accurate FPGA-Based ORB Feature Extractor for SLAM with Row-Wise Keypoint Selection.
Proceedings of the IEEE International Conference on Consumer Electronics, 2024

2023
A Fully-Parallel Annealing Algorithm with Autonomous Pinning Effect Control for Various Combinatorial Optimization Problems.
IEICE Trans. Inf. Syst., December, 2023

Multicoated and Folded Graph Neural Networks with Strong Lottery Tickets.
CoRR, 2023

An Exploration of State-of-the-Art Automation Frameworks for FPGA-Based DNN Acceleration.
IEEE Access, 2023

Pianissimo: A Sub-mW Class DNN Accelerator with Progressive Bit-by-Bit Datapath Architecture for Adaptive Inference at Edge.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

A Highly Accurate and Parallel Vision MLP FPGA Accelerator based on FP7/8 SIMD Operations.
Proceedings of the 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2023

Amorphica: 4-Replica 512 Fully Connected Spin 336MHz Metamorphic Annealer with Programmable Optimization Strategy and Compressed-Spin-Transfer Multi-Chip Extension.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

Decision Forest Training Accelerator Based on Binary Feature Decomposition.
Proceedings of the 31st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2023

Flexibly Controllable Dynamic Cooling Methods for Solid-State Annealing Processors to Improve Combinatorial Optimization Performance.
Proceedings of the IEEE Symposium in Low-Power and High-Speed Chips, 2023

2022
A Hybrid Integer Encoding Method for Obtaining High-Quality Solutions of Quadratic Knapsack Problems on Solid-State Annealers.
IEICE Trans. Inf. Syst., December, 2022

Hiddenite: 4K-PE Hidden Network Inference 4D-Tensor Engine Exploiting On-Chip Model Construction Achieving 34.8-to-16.0TOPS/W for CIFAR-100 and ImageNet.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

APC-SCA: A Fully-Parallel Annealing Algorithm with Autonomous Pinning Effect Control.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2022

Multicoated Supermasks Enhance Hidden Networks.
Proceedings of the International Conference on Machine Learning, 2022

2021
ProgressiveNN: Achieving Computational Scalability with Dynamic Bit-Precision Adjustment by MSB-first Accumulative Computation.
Int. J. Netw. Comput., 2021

ExtraFerns: Fully Parallel Ensemble Learning Technique with Random Projection and Non-Greedy yet Minimal Memory Access Training.
Int. J. Netw. Comput., 2021

Edge Inference Engine for Deep & Random Sparse Neural Networks with 4-bit Cartesian-Product MAC Array and Pipelined Activation Aligner.
Proceedings of the IEEE Hot Chips 33 Symposium, 2021

A High-Performance and Flexible FPGA Inference Accelerator for Decision Forests Based on Prior Feature Space Partitioning.
Proceedings of the International Conference on Field-Programmable Technology, 2021

2020
ProgressiveNN: Achieving Computational Scalability without Network Alteration by MSB-first Accumulative Computation.
Proceedings of the Eighth International Symposium on Computing and Networking, 2020

ExtraFerns: Fully Parallel Ensemble Learning Technique with Non-Greedy yet Minimal Memory Access Training.
Proceedings of the Eighth International Symposium on Computing and Networking, 2020

Dependency-Driven Trace-Based Network-on-Chip Emulation on FPGAs.
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020

2019
LEF: An Effective Routing Algorithm for Two-Dimensional Meshes.
IEICE Trans. Inf. Syst., 2019

2018
An Effective Architecture for Trace-Driven Emulation of Networks-on-Chip on FPGAs.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018

A High-Performance and Cost-Effective Hardware Merge Sorter without Feedback Datapath.
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018

2017
Fast and Cycle-Accurate Emulation of Large-Scale Networks-on-Chip Using a Single FPGA.
ACM Trans. Reconfigurable Technol. Syst., 2017

Enhanced Long Edge First Routing Algorithm and Evaluation in Large-Scale Networks-on-Chip.
Proceedings of the 11th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2017

High-Performance Hardware Merge Sorter.
Proceedings of the 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2017

2016
Cost-Effective and High-Throughput Merge Network: Architecture for the Fastest FPGA Sorting Accelerator.
SIGARCH Comput. Archit. News, 2016

The synchronous vs. asynchronous NoC routers: an apple-to-apple comparison between synchronous and transition signaling asynchronous designs.
Proceedings of the Tenth IEEE/ACM International Symposium on Networks-on-Chip, 2016

A Cost-Effective and Scalable Merge Sorter Tree on FPGAs.
Proceedings of the Fourth International Symposium on Computing and Networking, 2016

2015
Ultra-fast NoC emulation on a single FPGA.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

Enabling Fast and Accurate Emulation of Large-Scale Network on Chip Architectures on a Single FPGA.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015

2014
KNoCEmu: High Speed FPGA Emulator for Kilo-node Scale NoCs.
Proceedings of the IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, 2014

Ultrasmall: The smallest MIPS soft processor.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014


  Loading...