Shinya Takamaeda-Yamazaki

Orcid: 0000-0003-3441-1695

According to our database1, Shinya Takamaeda-Yamazaki authored at least 66 papers between 2010 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Cachet: Low-Overhead Integrity Verification on Metadata Cache in Secure Nonvolatile Memory Systems.
IEEE Micro, 2024

FS-Boost: Communication-Efficient Federated Subtree-Based Gradient Boosting Decision Trees.
Proceedings of the 21st IEEE Consumer Communications & Networking Conference, 2024

2023
MITA: Multi-Input Adaptive Activation Function for Accurate Binary Neural Network Hardware.
IEICE Trans. Inf. Syst., December, 2023

HALO-CAT: A Hidden Network Processor with Activation-Localized CIM Architecture and Layer-Penetrative Tiling.
CoRR, 2023

OSA-HCIM: On-The-Fly Saliency-Aware Hybrid SRAM CIM with Dynamic Precision Configuration.
CoRR, 2023

Poison Egg: Scrambling Federated Learning with Delayed Backdoor Attack.
Proceedings of the Ubiquitous Security, 2023

MAO: Memory Architecture Obfuscation.
Proceedings of the 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2023

GeMP-BNN: High-Performance Sampling-Free Bayesian Neural Network Accelerator with Gaussian Error Moment Propagation.
Proceedings of the 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2023

Cachet: A High-Performance Joint-Subtree Integrity Verification for Secure Non-Volatile Memory.
Proceedings of the IEEE Symposium in Low-Power and High-Speed Chips, 2023

SPinS-FL: Communication-Efficient Federated Subnetwork Learning.
Proceedings of the 20th IEEE Consumer Communications & Networking Conference, 2023

High-Level Synthesis of Memory Systems for Decoupled Data Orchestration.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2023

2022
Real-Time Tone Mapping: A Survey and Cross-Implementation Hardware Benchmark.
IEEE Trans. Circuits Syst. Video Technol., 2022

MP-GELU Bayesian Neural Networks: Moment Propagation by GELU Nonlinearity.
CoRR, 2022

Multi-Input Adaptive Activation Function for Binary Neural Networks.
Proceedings of the 2022 Tenth International Symposium on Computing and Networking, CANDAR 2022, 2022

Accelerating Decision Tree Ensemble with Guided Branch Approximation.
Proceedings of the HEART 2022: International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies, Tsukuba, Japan, June 9, 2022

Model-based Federated Reinforcement Distillation.
Proceedings of the IEEE Global Communications Conference, 2022

FADEC: FPGA-based Acceleration of Video Depth Estimation by HW/SW Co-design.
Proceedings of the International Conference on Field-Programmable Technology, 2022

2021
A 96-MB 3D-Stacked SRAM Using Inductive Coupling With 0.4-V Transmitter, Termination Scheme and 12: 1 SerDes in 40-nm CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

STATICA: A 512-Spin 0.25M-Weight Annealing Processor With an All-Spin-Updates-at-Once Architecture for Combinatorial Optimization With Complete Spin-Spin Interactions.
IEEE J. Solid State Circuits, 2021

Foreword.
IEICE Trans. Inf. Syst., 2021

Selective Fine-Tuning on a Classifier Ensemble: Realizing Adaptive Neural Networks With a Diversified Multi-Exit Architecture.
IEEE Access, 2021

An FPGA-Based Fully Pipelined Bilateral Grid for Real-Time Image Denoising.
Proceedings of the 31st International Conference on Field-Programmable Logic and Applications, 2021

ASBNN: Acceleration of Bayesian Convolutional Neural Networks by Algorithm-hardware Co-design.
Proceedings of the 32nd IEEE International Conference on Application-specific Systems, 2021

2020
An Adaptive Global and Local Tone Mapping Algorithm Implemented on FPGA.
IEEE Trans. Circuits Syst. Video Technol., 2020

A Hardware-efficient Weight Sampling Circuit for Bayesian Neural Networks.
Int. J. Netw. Comput., 2020

7.3 STATICA: A 512-Spin 0.25M-Weight Full-Digital Annealing Processor with a Near-Memory All-Spin-Updates-at-Once Architecture for Combinatorial Optimization with Complete Spin-Spin Interactions.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

A 3D-Stacked SRAM using Inductive Coupling with Low-Voltage Transmitter and 12: 1 SerDes.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Hardware/Algorithm Co-optimization for Fully-Parallelized Compact Decision Tree Ensembles on FPGAs.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2020

2019
QUEST: Multi-Purpose Log-Quantized DNN Inference Engine Stacked on 96-MB 3-D SRAM Using Inductive Coupling Technology in 40-nm CMOS.
IEEE J. Solid State Circuits, 2019

FPGA-Based Annealing Processor with Time-Division Multiplexing.
IEICE Trans. Inf. Syst., 2019

Dither NN: Hardware/Algorithm Co-Design for Accurate Quantized Neural Networks.
IEICE Trans. Inf. Syst., 2019

A Resource-Efficient Weight Sampling Method for Bayesian Neural Network Accelerators.
Proceedings of the 2019 Seventh International Symposium on Computing and Networking, 2019

Radiography Contrast Enhancement: Smoothed LHE Filter a Practical Solution for Digital X-Rays with Mach Band.
Proceedings of the 2019 Digital Image Computing: Techniques and Applications, 2019

DeltaNet: Differential Binary Neural Network.
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019

2018
Real-time HDTV to 4K and 8K-UHD conversions using anti-aliasing based super resolution algorithm on FPGA.
Microprocess. Microsystems, 2018

BRein Memory: A Single-Chip Binary/Ternary Reconfigurable in-Memory Deep Neural Network Accelerator Achieving 1.4 TOPS at 0.6 W.
IEEE J. Solid State Circuits, 2018

A Tree-Based Checkpointing Architecture for the Dependability of FPGA Computing.
IEICE Trans. Inf. Syst., 2018

Protocomputing Architecture over a Digital Medium Aiming at Real-Time Video Processing.
Complex., 2018

Analysis of Smoothed LHE Methods for Processing Images with Optical Illusions.
Proceedings of the IEEE Visual Communications and Image Processing, 2018

Area and Energy Optimization for Bit-Serial Log-Quantized DNN Accelerator with Shared Accumulators.
Proceedings of the 12th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2018

QUEST: A 7.49TOPS multi-purpose log-quantized DNN inference engine stacked on 96MB 3D SRAM using inductive-coupling technology in 40nm CMOS.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Sparse Disparity Estimation Using Global Phase Only Correlation for Stereo Matching Acceleration.
Proceedings of the 2018 IEEE International Conference on Acoustics, 2018

Dither NN: An Accurate Neural Network with Dithering for Low Bit-Precision Hardware.
Proceedings of the International Conference on Field-Programmable Technology, 2018

2017
Quantization Error-Based Regularization in Neural Networks.
Proceedings of the Artificial Intelligence XXXIV, 2017

In-memory area-efficient signal streaming processor design for binary neural networks.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

Logarithmic Compression for Memory Footprint Reduction in Neural Network Training.
Proceedings of the Fifth International Symposium on Computing and Networking, 2017

A Time-Division Multiplexing Ising Machine on FPGAs.
Proceedings of the 8th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies, 2017

FPGA implementation of edge-guided pattern generation for motion-vector estimation of textureless objects.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

CPRring: A Structure-Aware Ring-Based Checkpointing Architecture for FPGA Computing.
Proceedings of the 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2017

Accelerating deep learning by binarized hardware.
Proceedings of the 2017 Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, 2017

2016
Performance Optimization of Light-Field Applications on GPU.
IEICE Trans. Inf. Syst., 2016

CPRtree: A Tree-Based Checkpointing Architecture for Heterogeneous FPGA Computing.
Proceedings of the Fourth International Symposium on Computing and Networking, 2016

CPU Meets VR: A Scalable 3D Representation of Manycores for Behavior Analysis.
Proceedings of the Fourth International Symposium on Computing and Networking, 2016

Stop the World: A Lightweight Runtime Power-Capping Mechanism for FPGAs.
Proceedings of the Fourth International Symposium on Computing and Networking, 2016

2015
Ultrasmall: A Tiny Soft Processor Architecture with Multi-Bit Serial Datapaths for FPGAs.
IEICE Trans. Inf. Syst., 2015

Performance Evaluation of a 3D-Stencil Library for Distributed Memory Array Accelerators.
IEICE Trans. Inf. Syst., 2015

A CGRA-Based Approach for Accelerating Convolutional Neural Networks.
Proceedings of the IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2015

A Distributed Memory Based Embedded CGRA for Accelerating Stencil Computations.
Proceedings of the Third International Symposium on Computing and Networking, 2015

Pyverilog: A Python-Based Hardware Design Processing Toolkit for Verilog HDL.
Proceedings of the Applied Reconfigurable Computing - 11th International Symposium, 2015

2014
A framework for efficient rapid prototyping by virtually enlarging FPGA resources.
Proceedings of the 2014 International Conference on ReConFigurable Computing and FPGAs, 2014

flipSyrup: Cycle-accurate hardware simulation framework on abstract FPGA platforms.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

Ultrasmall: The smallest MIPS soft processor.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

2012
Towards a Low-Power Accelerator of Many FPGAs for Stencil Computations.
Proceedings of the Third International Conference on Networking and Computing, 2012

ScalableCore System: A Scalable Many-Core Simulator by Employing over 100 FPGAs.
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2012

2011
An FPGA-based scalable simulation accelerator for tile architectures.
SIGARCH Comput. Archit. News, 2011

2010
Smart Core System for Dependable Many-Core Processor with Multifunction Routers.
Proceedings of the First International Conference on Networking and Computing, 2010


  Loading...