Xing Huang

This page is a disambiguation page, it actually contains mutiple papers from persons of the same or a similar name.

Bibliography

2024
Control-Logic Synthesis of Fully Programmable Valve Array Using Reinforcement Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., January, 2024

2023
Estimate of transition kernel for Euler-Maruyama scheme for SDEs driven by α-stable noise and applications.
Numer. Algorithms, November, 2023

Enhanced Built-In Self-Diagnosis and Self-Repair Techniques for Daisy-Chain Design in MEDA Digital Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2023

E2EFP-MIL: End-to-end and high-generalizability weakly supervised deep convolutional network for lung cancer classification from whole slide image.
Medical Image Anal., August, 2023

The collaborative governance mechanism of emergency information to coastal cities of China.
Soft Comput., June, 2023

Application Mapping and Control-system Design for Microfluidic Biochips with Distributed Channel Storage.
ACM Trans. Design Autom. Electr. Syst., March, 2023

Design Automation for Continuous-Flow Lab-on-a-Chip Systems: A One-Pass Paradigm.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

Preference as Reward, Maximum Preference Optimization with Importance Sampling.
CoRR, 2023

Fault-Tolerance-Oriented Physical Design for Fully Programmable Valve Array Biochips.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
A Survey on Security of Digital Microfluidic Biochips: Technology, Attack, and Defense.
ACM Trans. Design Autom. Electr. Syst., 2022

Design and Construction of a Superconducting Gravimeter Prototype.
IEEE Trans. Instrum. Meas., 2022

VirtualSync+: Timing Optimization With Virtual Synchronization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Contamination-Aware Synthesis for Programmable Microfluidic Devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Timing-Aware Layer Assignment for Advanced Process Technologies Considering via Pillars.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

PathDriver+: Enhanced Path-Driven Architecture Design for Flow-Based Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

MiniControl 2.0: Co-Synthesis of Flow and Control Layers for Microfluidic Biochips With Strictly Constrained Control Ports.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Flow-Based Microfluidic Biochips With Distributed Channel Storage: Synthesis, Physical Design, and Wash Optimization.
IEEE Trans. Computers, 2022

A novel emergency situation awareness machine learning approach to assess flood disaster risk based on Chinese Weibo.
Neural Comput. Appl., 2022

Convergence rate of the EM algorithm for SDEs with low regular drifts.
J. Appl. Probab., 2022

Lyapunov-Based Partial Computation Offloading for Multiple Mobile Devices Enabled by Harvested Energy in MEC.
IEEE Internet Things J., 2022

Design automation for continuous-flow microfluidic biochips: A comprehensive review.
Integr., 2022

Computer-aided Design Techniques for Flow-based Microfluidic Lab-on-a-chip Systems.
ACM Comput. Surv., 2022

Improved similarity based prognostics method for turbine engine degradation with degradation consistency test.
Appl. Intell., 2022

SPTA: A Scalable Parallel ILP-Based Track Assignment Algorithm with Two-Stage Partition.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022

LA-SVR: A High-Performance Layer Assignment Algorithm with Slew Violations Reduction.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022

Data Visualization Design Strategies for Promoting Exercise Motivation in Self-Tracking Applications.
Proceedings of the SIGDOC '22: The 40th ACM International Conference on Design of Communication, Boston, MA, USA, October 6, 2022

PlatoGL: Effective and Scalable Deep Graph Learning System for Graph-enhanced Real-Time Recommendation.
Proceedings of the 31st ACM International Conference on Information & Knowledge Management, 2022

NR-Router: Non-Regular Electrode Routing with Optimal Pin Selection for Electrowetting-on-Dielectric Chips.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

Unified program cross-architecture migration framework model.
Proceedings of the 29th Asia-Pacific Software Engineering Conference, 2022

2021
DCSA: Distributed Channel-Storage Architecture for Flow-Based Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

HAGP: A Heuristic Algorithm Based on Greedy Policy for Task Offloading with Reliability of MDs in MEC of the Industrial Internet.
Sensors, 2021

Distribution-dependent SDEs with Hölder continuous drift and α-stable noise.
Numer. Algorithms, 2021

Analysis on an Auto Increment Detection System of Chinese Disaster Weibo Text.
J. Univers. Comput. Sci., 2021

End-to-End Transformer-Based Open-Vocabulary Keyword Spotting with Location-Guided Local Attention.
Proceedings of the Interspeech 2021, 22nd Annual Conference of the International Speech Communication Association, Brno, Czechia, 30 August, 2021

BigIntegr: One-Pass Architectural Synthesis for Continuous-Flow Microfluidic Lab-on-a-Chip Systems.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

ALIFRouter: A Practical Architecture-Level Inter-FPGA Router for Logic Verification.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

An Efficient Programming Framework for Memristor-based Neuromorphic Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

An MBSE Framework for Civil Aircraft Airborne System Development.
Proceedings of the 4th International Conference on Complex Systems Design & Management Asia, 2021

Single-task Temporal Face Synthesis Model Based on Migration Confrontation.
Proceedings of the 7th IEEE International Conference on Cloud Computing and Intelligent Systems, 2021

2020
Multicontrol: Advanced Control-Logic Synthesis for Flow-Based Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Timing-Driven Flow-Channel Network Construction for Continuous-Flow Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Microfluidic Production of Autofluorescent BSA Hydrogel Microspheres and Their Sequential Trapping for Fluorescence-Based On-Chip Permanganate Sensing.
Sensors, 2020

Indoor Test System for Liquid CO2 Phase Change Shock Wave Pressure with PVDF Sensors.
Sensors, 2020

Harnessing the Wisdom of Crowds.
Manag. Sci., 2020

Novel adaptive neural networks control with event-triggered for uncertain nonlinear system.
J. Frankl. Inst., 2020

A Low-Power Time-to-Digital Converter for the CMS Endcap Timing Layer (ETL) Upgrade.
CoRR, 2020

Convergence rate of EM algorithm for SDEs under integrability condition.
CoRR, 2020

The Nordhaus-Gaddum type inequalities of <i>A<sub>α</sub></i>-matrix.
Appl. Math. Comput., 2020

A Real-time Ultrasound Simulator Using Monte-Carlo Path Tracing in Conjunction with Optix Engine.
Proceedings of the 2020 IEEE International Conference on Systems, Man, and Cybernetics, 2020

Instant Messaging Tool Task Collaboration Platform.
Proceedings of the 16th International Wireless Communications and Mobile Computing Conference, 2020

A Survey of DMFBs Security: State-of-the-Art Attack and Defense.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

Simulation and Experiment of Power Response Control Strategy for Vehicle Fuel Cell.
Proceedings of the 46th Annual Conference of the IEEE Industrial Electronics Society, 2020

PathDriver: A Path-Driven Architectural Synthesis Flow for Continuous-Flow Microfluidic Biochips.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

MSFRoute: Multi-Stage FPGA Routing for Timing Division Multiplexing Technique.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

HTcatcher: Finite State Machine and Feature Verifcation for Large-scale Neuromorphic Computing Systems.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

MiniDelay: Multi-Strategy Timing-Aware Layer Assignment for Advanced Technology Nodes.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Transcriptome Dataset of Leaf Tissue in <i>Agave</i> H11648.
Data, 2019

Neural Terminal Sliding-Mode Control for Uncertain Systems with Building Structure Vibration.
Complex., 2019

Extended Fuzzy Adaptive Event-Triggered Compensation Control for Uncertain Nonlinear Systems With Input Hysteresis.
IEEE Access, 2019

A Locating Method for Multi-Purposes HTs Based on the Boundary Network.
IEEE Access, 2019

Research on Implementation Scheme of Power IMS Network Based on NFV Architecture.
Proceedings of the Simulation Tools and Techniques - 11th International Conference, 2019

A Routing Algorithm Based on Weighted Graph for Power Distribution Network.
Proceedings of the Simulation Tools and Techniques - 11th International Conference, 2019

A SDN-Based Network Traffic Estimating Algorithm in Power Telecommunication Network.
Proceedings of the Simulation Tools and Techniques - 11th International Conference, 2019

An Improved Ant Colony-Based Alternate Path Selection Method for Wide-Area Protection System in Optical Communication Network of Power Grid.
Proceedings of the Simulation Tools and Techniques - 11th International Conference, 2019

Configuration Analysis and Parameter Matching of Fuel Cell Electric Vehicle Driving System.
Proceedings of the IECON 2019, 2019

A Real-Time Medical Ultrasound Simulator Based on a Generative Adversarial Network Model.
Proceedings of the 2019 IEEE International Conference on Image Processing, 2019

Open-Source Incubation Ecosystem for Digital Microfluidics - Status and Roadmap: Invited Paper.
Proceedings of the International Conference on Computer-Aided Design, 2019

Physical Synthesis of Flow-Based Microfluidic Biochips Considering Distributed Channel Storage.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

MiniControl: Synthesis of Continuous-Flow Microfluidics with Strictly Constrained Control Ports.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Research on Plug-in Electric Vehicles Dispatch Strategy Considering Battery Life.
Proceedings of the 4th International Conference on Control, Robotics and Cybernetics, 2019

2018
An implicit degree sum condition for cycles through specified vertices.
Inf. Process. Lett., 2018

Adaptive Hyperbolic Tangent Sliding-Mode Control for Building Structural Vibration Systems for Uncertain Earthquakes.
IEEE Access, 2018

Pole-to-Pole Fault Protection of Hybrid-MMC-based MVDC Distribution Systems.
Proceedings of the IEEE Industry Applications Society Annual Meeting, 2018

The Psychological Cost of College Math: Digital Learning Behaviors, Outcomes, and Genders Differences.
Proceedings of the HCI International 2018 - Posters' Extended Abstracts, 2018

2017
Timing and sex effects on the "Spatial Orientation Test": A World War II map reading test.
Spatial Cogn. Comput., 2017

A multiplatform investigation of law enforcement agencies on social media.
Inf. Polity, 2017

Implicit degree condition for hamiltonicity of 2-heavy graphs.
Discret. Appl. Math., 2017

MLXR: multi-layer obstacle-avoiding X-architecture Steiner tree construction for VLSI routing.
Sci. China Inf. Sci., 2017

Implicit degree sum condition for hamiltonian cycles.
Ars Comb., 2017

Dimensionality reduction via representation and affinity learning.
Proceedings of the 4th International Conference on Systems and Informatics, 2017

Four nonlinear multi-input multi-output ADHDP constructions and algorithms based on topology principle.
Proceedings of the 4th International Conference on Systems and Informatics, 2017

2016
FH-OAOS: A Fast Four-Step Heuristic for Obstacle-Avoiding Octilinear Steiner Tree Construction.
ACM Trans. Design Autom. Electr. Syst., 2016

On implicit degree sum condition for long cycles.
Ars Comb., 2016

Implicit degree condition for hamiltonicity of graphs.
Ars Comb., 2016

2015
Obstacle-Avoiding Algorithm in X-Architecture Based on Discrete Particle Swarm Optimization for VLSI Design.
ACM Trans. Design Autom. Electr. Syst., 2015

Multilayer Obstacle-Avoiding X-Architecture Steiner Minimal Tree Construction Based on Particle Swarm Optimization.
IEEE Trans. Cybern., 2015

A PSO-based timing-driven Octilinear Steiner tree algorithm for VLSI routing considering bend reduction.
Soft Comput., 2015

Hamilton cycles in claw-heavy graphs with Fan-type condition restricted to two induced subgraphs.
Ars Comb., 2015

Fast obstacle-avoiding octilinear steiner minimal tree construction algorithm for VLSI design.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

Fast equivalent model of isolated bidirectional DC-DC converters for DC microgrid study.
Proceedings of the IECON 2015, 2015

2014
Hamilton cycles in implicit claw-heavy graphs.
Inf. Process. Lett., 2014

Genome-wide nucleosome detection based on the dinucleotide position frequencies.
Int. J. Data Min. Bioinform., 2014

Development of medium voltage solid-state fault isolation devices for ultra-fast protection of distribution systems.
Proceedings of the IECON 2014 - 40th Annual Conference of the IEEE Industrial Electronics Society, Dallas, TX, USA, October 29, 2014

2013
Obstacle-Avoiding Octagonal Steiner Tree construction based on Particle Swarm Optimization.
Proceedings of the Ninth International Conference on Natural Computation, 2013

Remote sensing image change detection based on spatial neighborhood analysis.
Proceedings of the 2013 IEEE International Conference on Control System, 2013

A provenance-based solution for software selection in scientific software sharing.
Proceedings of the 2013 IEEE 17th International Conference on Computer Supported Cooperative Work in Design (CSCWD), 2013

Meanings and boundaries of scientific software sharing.
Proceedings of the Computer Supported Cooperative Work, 2013

2012
PWMDS: A system supporting provenance-based matching and discovery of workflows in proteomics data analysis.
Proceedings of the IEEE 16th International Conference on Computer Supported Cooperative Work in Design, 2012

2011
Study on grading envelope of AC-16 asphalt mixture based on rutting resistance performance.
Proceedings of the IEEE International Conference on Automation and Logistics, 2011

2009
Combining Resting-state fMRI and DTI Analysis for Early-onset Schizophrenia.
Int. J. Comput. Intell. Syst., 2009

2008
Combined Analysis of Resting-State fMRI and DTI Data Reveals Abnormal Development of Function-Structure in Early-Onset Schizophrenia.
Proceedings of the Rough Sets and Knowledge Technology, Third International Conference, 2008


  Loading...