Atsushi Kurokawa

According to our database1, Atsushi Kurokawa authored at least 66 papers between 2003 and 2023.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Bayesian neural network based inductance calculations of wireless power transfer systems.
IEICE Electron. Express, 2023

Electronic component placement optimization for heat measures of smartglasses.
IEICE Electron. Express, 2023

A parabolic spiral coil transmitter with uniform magnetic field for smart devices.
IEICE Electron. Express, 2023

A thermally optimizing method of thin film resistor trimming with machine learning.
IEICE Electron. Express, 2023

2022
A bernoulli spiral coil transmitter for charging various small electronic devices.
IEICE Electron. Express, 2022

Receiver coil built into belt for heat dissipation of watch-type smart devices.
IEICE Electron. Express, 2022

Enhanced laser trimming of thin film resistors dedicated to snubber for high power IGBT modules.
Proceedings of the 65th IEEE International Midwest Symposium on Circuits and Systems, 2022

Deep Neural Network Based Inductance Calculations of Wireless Power Transfer Systems.
Proceedings of the 11th IEEE Global Conference on Consumer Electronics, 2022

A Parabolic Spiral Coil Transmitter for Charging Multiple Receivers.
Proceedings of the 11th IEEE Global Conference on Consumer Electronics, 2022

2021
Effective methods to promote heat dissipation of wrist wearables.
IEICE Electron. Express, 2021

An Energy Efficient Processor Applicable to Continuous SPO2 Monitoring.
Proceedings of the 10th IEEE Global Conference on Consumer Electronics, 2021

2020
Thermal Model and Countermeasures for Future Smart Glasses.
Sensors, 2020

Thermal placement on PCB of components including 3D ICs.
IEICE Electron. Express, 2020

A simple yet precise capacitance estimation method for on-chip power delivery network towards EMC analysis.
IEICE Electron. Express, 2020

Testing Through Silicon Vias in Power Distribution Network of 3D-IC with Manufacturing Variability Cancellation.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
A Single-Stage RISC-V Processor to Mitigate the Von Neumann Bottleneck.
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019

Power Transfer System Combining Wireless Resonators and Wired Three-Coil Repeater.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Thermal Countermeasures of Glass Wearable Devices.
Proceedings of the IEEE International Conference on Consumer Electronics - Taiwan, 2019

Repeater Coil Connections for WPT System with Wired Three Coils.
Proceedings of the IEEE 8th Global Conference on Consumer Electronics, 2019

Hardware Trojan Insertion and Detection in Asynchronous Circuits.
Proceedings of the 25th IEEE International Symposium on Asynchronous Circuits and Systems, 2019

Advantages and Characteristics of a WPT System with a Resonant Wired-2-Coil Repeater.
Proceedings of the IEEE VTS Asia Pacific Wireless Communications Symposium, 2019

Variability Cancellation to Improve Diagnostic Performance of Testing through Silicon Vias in Power Distribution Network of 3D-IC.
Proceedings of the 2019 International 3D Systems Integration Conference (3DIC), 2019

2017
Cooling architectures using thermal sidewalls, interchip plates, and bottom plate for 3D ICs.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017

2015
Signal Propagation Delay Model in Vertically Stacked Chips.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

Structure optimization for timing in nano scale FinFET.
IEICE Electron. Express, 2015

An effective model for evaluating vertical propagation delay in TSV-based 3-D ICs.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

Clock skew reduction for stacked chips using multiple source buffers.
Proceedings of the 15th International Symposium on Communications and Information Technologies, 2015

2014
An Effective Model of the Overshooting Effect for Multiple-Input Gates in Nanometer Technologies.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

Effect of substrate contacts on reducing crosstalk noise between TSVs.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

Impact of on-chip interconnects on vertical signal propagation in 3D ICs.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

Modeling of substrate contacts in TSV-based 3D ICs.
Proceedings of the 2014 International 3D Systems Integration Conference, 2014

2013
An analytical model of the overshooting effect for multiple-input gates in nanometer technologies.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

2012
Modeling the Overshooting Effect of Multi-Input gate in Nanometer Technologies.
J. Circuits Syst. Comput., 2012

Optimum design of the execution stage of embedded processors.
Proceedings of the International Symposium on Communications and Information Technologies, 2012

2011
A Non-Iterative Method for Calculating the Effective Capacitance of CMOS Gates with Interconnect Load Effect.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2011

Development of a next generation ubiquitous processor chip.
Proceedings of the International Symposium on Intelligent Signal Processing and Communications Systems, 2011

2010
Modeling the Overshooting Effect for CMOS Inverter Delay Analysis in Nanometer Technologies.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Simple Analytical Formulas for Estimating IR-Drops in an Early Design Stage.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

Impact of Self-Heating in Wire Interconnection on Timing.
IEICE Trans. Electron., 2010

2009
Practical Redundant-Via Insertion Method Considering Manufacturing Variability and Reliability.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

An Approach for Reducing Leakage Current Variation due to Manufacturing Variability.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Improvement in Computational Accuracy of Output Transition Time Variation Considering Threshold Voltage Variations.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Accurate Method for Calculating the Effective Capacitance with RC Loads Based on the Thevenin Model.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

2008
Prevention in a Chip of EMI Noise Caused by X'tal Oscillator.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

2007
Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
Determination of Interconnect Structural Parameters for Best- and Worst-Case Delays.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

Formula-Based Method for Capacitance Extraction of Interconnects with Dummy Fills.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

Impact of Intrinsic Parasitic Extraction Errors on Timing and Noise Estimation.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

Modeling the Influence of Input-to-Output Coupling Capacitance on CMOS Inverter Delay.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

A gate delay model focusing on current fluctuation over wide-range of process and environmental variability.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

2005
Efficient Large Scale Integration Power/Ground Network Optimization Based on Grid Genetic Algorithm.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2005

A Practical Approach for Efficiently Extracting Interconnect Capacitances with Floating Dummy Fills.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2005

Efficient Dummy Filling Methods to Reduce Interconnect Capacitance and Number of Dummy Metal Fills.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2005

Second-Order Polynomial Expressions for On-Chip Interconnect Capacitance.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2005

Modeling the Effective Capacitance of Interconnect Loads for Predicting CMOS Gate Slew.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2005

A Novel Model for Computing the Effective Capacitance of CMOS Gates with Interconnect Loads.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2005

Capacitance and Yield Evaluations Using a 90-nm Process Technology Based on the Dense Power-Ground Interconnect Architecture.
Proceedings of the 6th International Symposium on Quality of Electronic Design (ISQED 2005), 2005

Dummy Filling Methods for Reducing Interconnect Capacitance and Number of Fills.
Proceedings of the 6th International Symposium on Quality of Electronic Design (ISQED 2005), 2005

Effective capacitance for gate delay with RC loads.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Challenge: variability characterization and modeling for 65- to 90-nm processes.
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005

2004
Efficient capacitance extraction method for interconnects with dummy fills.
Proceedings of the IEEE 2004 Custom Integrated Circuits Conference, 2004

DEPOGIT: dense power-ground interconnect architecture for physical design integrity.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
Approximation Formula Approach for the Efficient Extraction of On-Chip Mutual Inductances.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

Fast On-Chip Inductance Extraction of VLSI Including Angled Interconnects.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

Accurate prediction of the impact of on-chip inductance on interconnect delay using electrical and physical parameter-based RSF.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

Approximate formulae approach for efficient inductance extraction.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003


  Loading...