Takao Onoye

Orcid: 0000-0002-1894-2448

According to our database1, Takao Onoye authored at least 233 papers between 1994 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Duck Curve Aware Dynamic Pricing and Battery Scheduling Strategy Using Reinforcement Learning.
IEEE Trans. Smart Grid, January, 2024

2023
An In-Vehicle Auditory Signal Evaluation Platform based on a Driving Simulator.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., November, 2023

Thermal-Comfort Aware Online Co-Scheduling Framework for HVAC, Battery Systems, and Appliances in Smart Buildings.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., May, 2023

EV Aggregation Framework for Spatiotemporal Energy Shifting to Reduce Solar Energy Waste.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2023

Data-driven HVAC Control Using Symbolic Regression: Design and Implementation.
CoRR, 2023

DANS: Deep Attention Network for Single Image Super-Resolution.
IEEE Access, 2023

Multi-FusNet of Cross Channel Network for Image Super-Resolution.
IEEE Access, 2023

SENext: Squeeze-and-ExcitationNext for Single Image Super-Resolution.
IEEE Access, 2023

Comfort-aware HVAC Aggregation Method based on Deep Reinforcement Learning.
Proceedings of the 10th ACM International Conference on Systems for Energy-Efficient Buildings, 2023

RNN-based Non-Intrusive Thermal Load Disaggregation and Forecasting for HVAC Systems.
Proceedings of the 10th ACM International Conference on Systems for Energy-Efficient Buildings, 2023

Adaptive Sampling for Computer Vision-Oriented Compressive Sensing.
Proceedings of the ACM Multimedia Asia 2023, 2023

Live Demonstration: In-Vehicle Auditory Signal Evaluation Platform in A Driving Simulator.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Exploring of Recursive Model-based Non-Intrusive Thermal Load Monitoring for Building Cooling Load.
Proceedings of the Companion Proceedings of the 14th ACM International Conference on Future Energy Systems, 2023

Exploring Models of Electricity Price Forecasting: Case Study on A FCAS Market.
Proceedings of the Companion Proceedings of the 14th ACM International Conference on Future Energy Systems, 2023

Improvement of YOLOv7 with Attention Modules for Urinary Sediment Particle Detection.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

2022
A Thermal Comfort and Peak Power Demand Aware VRF Heating/Cooling Management Framework: Simulation and On-site Experiment.
J. Inf. Process., 2022

Novel object motion estimation method for industrial vision systems in aligning machines.
J. Ind. Inf. Integr., 2022

Low complexity mode selection for H.266/VVC intra coding.
ICT Express, 2022

Deep reinforcement learning-based SOH-aware battery management for DER aggregation.
Proceedings of the 9th ACM International Conference on Systems for Energy-Efficient Buildings, 2022

Joint Generative Network for Abnormal Event Detection in Surveillance Videos.
Proceedings of the 19th International SoC Design Conference, 2022

An Evaluation of Electricity Demand Forecasting Models for Smart Energy Management Systems.
Proceedings of the 19th International SoC Design Conference, 2022

Joint Representation Learning for Anomaly Detection in Surveillance Videos.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Scheduling for Multiple HVAC Systems with Electrical Power Allocation.
Proceedings of the 11th IEEE Global Conference on Consumer Electronics, 2022

A Low-cost Privacy Concerning Occupancy Estimation System for HVAC Control.
Proceedings of the International Conference on Electronics, Information, and Communication, 2022

Priority-aware Static Task Mapping for Edge-Cloud Platforms.
Proceedings of the International Conference on Electronics, Information, and Communication, 2022

2021
A Case Study on FPGA Implementation of Parts Counting Orientation Recognition Method for Industrial Vision System.
IPSJ Trans. Syst. LSI Des. Methodol., 2021

A Picture May Be Worth a Hundred Words for Visual Question Answering.
CoRR, 2021

An Approach to Detect Anomaly in Video Using Deep Generative Network.
IEEE Access, 2021

An Infant-Like Device that Reproduces Hugging Sensation with Multi-Channel Haptic Feedback.
Proceedings of the VRST '21: 27th ACM Symposium on Virtual Reality Software and Technology, Virtual Event / Osaka, Japan, December 8, 2021

Improving duck curve by dynamic pricing and battery scheduling based on a deep reinforcement learning approach.
Proceedings of the BuildSys '21: The 8th ACM International Conference on Systems for Energy-Efficient Buildings, Cities, and Transportation, Coimbra, Portugal, November 17, 2021

Video Anomaly Detection Based on Deep Generative Network.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Visual Question Answering with Textual Representations for Images.
Proceedings of the IEEE/CVF International Conference on Computer Vision Workshops, 2021

Thermal Comfort Aware Online Energy Management Framework for a Smart Residential Building.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Online management framework for building HVAC systems considering peak shaving and thermal comfort: an experimental study.
Proceedings of the MSCPES@CPSIoTWeek '21: Proceedings of the 9th Workshop on Modeling and Simulation of Cyber-Physical Energy Systems, Virtual Event, May 19, 2021

2020
Non-Contact Respiration Monitoring and Body Movements Detection for Sleep Using Thermal Imaging.
Sensors, 2020

Magic Line: An Integrated Method for Fast Parts Counting and Orientation Recognition Using Industrial Vision Systems.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2020

A Node-Grouping Based Spatial Spectrum Reuse Method for WLANs in Dense Residential Scenarios.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2020

Acceleration of Automatic Building Extraction via Color-Clustering Analysis.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2020

SOH Aware System-Level Battery Management Methodology for Decentralized Energy Network.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2020

CoiLED Display: Make Everything Displayable.
Proceedings of the SIGGRAPH Asia 2020 Emerging Technologies, 2020

An Evaluation of Edge Computing Platform for Reliable Automated Drones.
Proceedings of the International SoC Design Conference, 2020

Parallelization of Local Path Planning for High Reliable Autonomous Drones.
Proceedings of the International SoC Design Conference, 2020

Performance Comparison of Saliency Detection Methods for Food Region Extraction.
Proceedings of the ICGSP 2020: The 4th International Conference on Graphics and Signal Processing, 2020

StickyTouch: A Tactile Display with Changeable Adhesive Distribution.
Proceedings of the 2020 IEEE Haptics Symposium, 2020

A template-free object motion estimation method for industrial vision system in aligning machine.
Proceedings of the 25th IEEE International Conference on Emerging Technologies and Factory Automation, 2020

TuVe: A Shape-changeable Display using Fluids in a Tube.
Proceedings of the AVI '20: International Conference on Advanced Visual Interfaces, Island of Ischia, Italy, September 28, 2020

2019
StickyTouch: An Adhesion Changeable Surface.
Proceedings of the SIGGRAPH Asia 2019 Emerging Technologies, 2019

2018
Activation-Aware Slack Assignment for Time-to-Failure Extension and Power Saving.
IEEE Trans. Very Large Scale Integr. Syst., 2018

An analytic evaluation on soft error immunity enhancement due to temporal triplication.
Int. J. Embed. Syst., 2018

Air-Writing Recognition Based on Fusion Network for Learning Spatial and Temporal Features.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2018

Hardware Architecture for High-Speed Object Detection Using Decision Tree Ensemble.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2018

OptRod: Constructing Interactive Surface with Multiple Functions and Flexible Shape by Projected Image.
Proceedings of the 31st Annual ACM Symposium on User Interface Software and Technology Adjunct Proceedings, 2018

TuVe: a flexible display with a tube.
Proceedings of the SIGGRAPH Asia 2018 Emerging Technologies, 2018

Fusion Networks for Air-Writing Recognition.
Proceedings of the MultiMedia Modeling - 24th International Conference, 2018

Soh aware battery management optimization on decentralized energy network.
Proceedings of the 9th ACM/IEEE International Conference on Cyber-Physical Systems, 2018

2017
Performance Evaluation of Software-Based Error Detection Mechanisms for Supply Noise Induced Timing Errors.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017

OptRod: operating multiple various actuators simultaneously by projected images.
Proceedings of the SIGGRAPH Asia 2017 Emerging Technologies, Bangkok, Thailand, November 27, 2017

GPGPU-based Highly Parallelized 3D Node Localization for Real-Time 3D Model Reproduction.
Proceedings of the 22nd International Conference on Intelligent User Interfaces, 2017

2016
Ketsuro-Graffiti: An Interactive Display with Water Condensation.
Proceedings of the 2016 ACM on Interactive Surfaces and Spaces, 2016

Hardware-simulation correlation of timing error detection performance of software-based error detection mechanisms.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

Critical path isolation for time-to-failure extension and lower voltage operation.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

2015
Hierarchical Structure-Based Fast Mode Decision for H.265/HEVC.
IEEE Trans. Circuits Syst. Video Technol., 2015

Hardware Architecture of the Fast Mode Decision Algorithm for H.265/HEVC.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

Implementation of Viterbi Decoder toward GPU-Based SDR Receiver.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

Foreword.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

Partial Encryption Method That Enhances MP3 Security.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

Device-Parameter Estimation with Sensitivity-Configurable Ring Oscillator.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

3D node localization from node-to-node distance information using cross-entropy method.
Proceedings of the 2015 IEEE Virtual Reality, 2015

Ketsuro-graffiti: a canvas with computer generated water condensation.
Proceedings of the SIGGRAPH Asia 2015 Emerging Technologies, 2015

Stochastic timing error rate estimation under process and temporal variations.
Proceedings of the 2015 IEEE International Test Conference, 2015

Design of generic hardware for soft cascade-based linear SVM classification.
Proceedings of the 2015 International Symposium on Intelligent Signal Processing and Communication Systems, 2015

Message from general co-chairs.
Proceedings of the 15th International Symposium on Communications and Information Technologies, 2015

Wireless video transmission over MIMO-OFDM using background modeling for video surveillance applications.
Proceedings of the 15th International Symposium on Communications and Information Technologies, 2015

Real-time on-chip supply voltage sensor and its application to trace-based timing error localization.
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015

Framework of surveillance video analysis and transmission system using background modeling and MIMO-OFDM.
Proceedings of the 2015 IEEE International Conference on Digital Signal Processing, 2015

Performance Evaluation of Software-based Error Detection Mechanisms for Localizing Electrical Timing Failures under Dynamic Supply Noise.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Area efficient device-parameter estimation using sensitivity-configurable ring oscillator.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

Reliability-configurable mixed-grained reconfigurable array compatible with high-level synthesis.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

An oscillator-based true random number generator with process and temperature tolerance.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
Corrections to "A Speed-Up Scheme Based on Multiple-Instance Pruning for Pedestrian Detection Using a Support Vector Machine".
IEEE Trans. Image Process., 2014

NBTI Mitigation Method by Inputting Random Scan-In Vectors in Standby Time.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

Comparative Evaluation of Lifetime Enhancement with Fault Avoidance on Dynamically Reconfigurable Devices.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

Reliability-Configurable Mixed-Grained Reconfigurable Array Supporting C-Based Design and Its Irradiation Testing.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

SET Pulse-Width Measurement Suppressing Pulse-Width Modulation and Within-Die Process Variation Effects.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

Measurement of Length of a Single Tooth Using PCA-Signature and Bezier Curve.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

A Process and Temperature Tolerant Oscillator-Based True Random Number Generator.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

Normalized channel features for accurate pedestrian detection.
Proceedings of the 6th International Symposium on Communications, 2014

Multi-eyed network camera for convenient 3D video capturing.
Proceedings of the IEEE 3rd Global Conference on Consumer Electronics, 2014

Progressive audio scrambling via complete binary tree's traversal and wavelet transform.
Proceedings of the Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, 2014

Progressive audio scrambling via wavelet transform.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

Ketsuro-Graffiti: water condensation display.
Proceedings of the 11th Conference on Advances in Computer Entertainment Technology, 2014

2013
Architecture and Implementation of Fading Compensation for Dynamic Spectrum Access Wireless Communication Systems.
VLSI Design, 2013

Supply Noise Suppression by Triple-Well Structure.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Implementing Flexible Reliability in a Coarse-Grained Reconfigurable Architecture.
IEEE Trans. Very Large Scale Integr. Syst., 2013

A Speed-Up Scheme Based on Multiple-Instance Pruning for Pedestrian Detection Using a Support Vector Machine.
IEEE Trans. Image Process., 2013

A Worst-Case-Aware Design Methodology for Noise-Tolerant Oscillator-Based True Random Number Generator With Stochastic Behavior Modeling.
IEEE Trans. Inf. Forensics Secur., 2013

A gate-delay model focusing on current fluctuation over wide range of process-voltage-temperature variations.
Integr., 2013

Field Slack Assessment for Predictive Fault Avoidance on Coarse-Grained Reconfigurable Devices.
IEICE Trans. Inf. Syst., 2013

Signal-Dependent Analog-to-Digital Conversion Based on MINIMAX Sampling.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

A Single Tooth Segmentation Using PCA-Stacked Gabor Filter and Active Contour.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

Jitter Amplifier for Oscillator-Based True Random Number Generator.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

A 0.8-V 110-nA CMOS current reference circuit using subthreshold operation.
IEICE Electron. Express, 2013

PVT-induced timing error detection through replica circuits and time redundancy in reconfigurable devices.
IEICE Electron. Express, 2013

Mixed-grained reconfigurable architecture supporting flexible reliability and C-based design.
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2013

Hardware-oriented fast mode decision algorithm for intra prediction in HEVC.
Proceedings of the 30th Picture Coding Symposium, 2013

Proximity distance estimation based on capacitive coupling between 1mm<sup>3</sup> sensor nodes.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

High-performance multiplierless transform architecture for HEVC.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Real-Time Supply Voltage Sensor for Detecting/Debugging Electrical Timing Failures.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013

Stochastic error rate estimation for adaptive speed control with field delay testing.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Application of the real-time Retinex image enhancement for endoscopic images.
Proceedings of the 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2013

Emoballoon - A Balloon-Shaped Interface Recognizing Social Touch Interactions.
Proceedings of the Advances in Computer Entertainment - 10th International Conference, 2013

2012
Adaptive Performance Compensation With In-Situ Timing Error Predictive Sensors for Subthreshold Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2012

A Ray Tracing Simulation of Sound Diffraction Based on the Analytic Secondary Source Model.
IEEE Trans. Speech Audio Process., 2012

Power Gating Implementation for Supply Noise Mitigation with Body-Tied Triple-Well Structure.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2012

A Body Bias Clustering Method for Low Test-Cost Post-Silicon Tuning.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2012

Cup-le: A cup-shaped device for conversational experiment.
Proceedings of the 2012 IEEE Virtual Reality, 2012

Static voltage over-scaling and dynamic voltage variation tolerance with replica circuits and time redundancy in reconfigurable devices.
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2012

A hierarchical motion smoothing for distributed scalable video coding.
Proceedings of the 2012 Picture Coding Symposium, 2012

Signal-dependent analog-to-digital converter based on MINIMAX sampling.
Proceedings of the International SoC Design Conference, 2012

A predictive delay fault avoidance scheme for coarse-grained reconfigurable architecture.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

Body bias clustering for low test-cost post-silicon tuning.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

Halo artifacts reduction method for variational based realtime retinex image enhancement.
Proceedings of the Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, 2012

2011
An Average-Performance-Oriented Subthreshold Processor Self-Timed by Memory Read Completion.
IEEE Trans. Circuits Syst. II Express Briefs, 2011

Extracting Device-Parameter Variations with RO-Based Sensors.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2011

Stress Probability Computation for Estimating NBTI-Induced Delay Degradation.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2011

NBTI Mitigation by Giving Random Scan-in Vectors during Standby Mode.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

International steering's message.
Proceedings of the International Symposium on Intelligent Signal Processing and Communications Systems, 2011

An oscillator-based true random number generator with jitter amplifier.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Implications of Reliability Enhancement Achieved by Fault Avoidance on Dynamically Reconfigurable Architectures.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

Power gating implementation for noise mitigation with body-tied triple-well structure.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

2010
Transistor Variability Modeling and its Validation With Ring-Oscillation Frequencies for Body-Biased Subthreshold Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Prediction of Self-Heating in Short Intra-Block Wires.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

3D Sound Rendering for Multiple Sound Sources Based on Fuzzy Clustering.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

Measurement Circuits for Acquiring SET Pulse Width Distribution with Sub-FO1-Inverter-Delay Resolution.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

A Design Procedure for Oscillator-Based Hardware Random Number Generator with Stochastic Behavior Modeling.
Proceedings of the Information Security Applications - 11th International Workshop, 2010

Comparative study on delay degrading estimation due to NBTI with circuit/instance/transistor-level stress probability consideration.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

Measurement circuits for acquiring SET pulsewidth distribution with sub-FO1-inverter-delay resolution.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

Clock skew reduction by self-compensating manufacturing variability with on-chip sensors.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

Adaptive performance control with embedded timing error predictive sensors for subthreshold circuits.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
All-Digital Ring-Oscillator-Based Macro for Sensing Dynamic Supply Noise Waveform.
IEEE J. Solid State Circuits, 2009

Efficient Memory Organization Framework for JPEG2000 Entropy Codec.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Foreword.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

An Experimental Study on Body-Biasing Layout Style Focusing on Area Efficiency and Speed Controllability.
IEICE Trans. Electron., 2009

Trade-Off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Tuning-friendly body bias clustering for compensating random variability in subthreshold circuits.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

Coarse-grained dynamically reconfigurable architecture with flexible reliability.
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009

Adaptive performance compensation with in-situ timing error prediction for subthreshold circuits.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2009

2008
Measurement and Analysis of Inductive Coupling Noise in 90 nm Global Interconnects.
IEEE J. Solid State Circuits, 2008

Special Section on Smart Multimedia & Communication Systems.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Area-Efficient Reconfigurable Architecture for Media Processing.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Embedded System Implementation of Sound Localization in Proximal Region.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Implementation of Multi-Agent Object Attention System Based on Biologically Inspired Attractor Selection.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Clock Skew Evaluation Considering Manufacturing Variability in Mesh-Style Clock Distribution.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Correlation verification between transistor variability model with body biasing and ring oscillation frequency in 90nm subthreshold circuits.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

Experimental study on body-biasing layout style-- negligible area overhead enables sufficient speed controllability --.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

Dynamic supply noise measurement circuit composed of standard cells suitable for in-site SoC power integrity verification.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
An energy-efficient architecture of wireless home network based on MAC broadcast and transmission power control.
IEEE Trans. Consumer Electron., 2007

Validation of a Full-Chip Simulation Model for Supply Noise and Delay Dependence on Average Voltage Drop With On-Chip Delay Measurement.
IEEE Trans. Circuits Syst. II Express Briefs, 2007

Efficient 3-D Sound Movement with Time-Varying IIR Filters.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2007

Quantitative Prediction of On-Chip Capacitive and Inductive Crosstalk Noise and Tradeoff between Wire Cross-Sectional Area and Inductive Crosstalk Effect.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2007

Direction of arrival estimation improvement of speech on a two-microphone array.
Proceedings of the Signal and Image Processing (SIP 2007), 2007

Future Prediction of Self-Heating in Short Intra-Block Wires.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

Dynamic Supply Noise Measurement with All Digital Gated Oscillator for Evaluating Decoupling Capacitance Effect.
Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, 2007

2006
A low-complexity FEC assignment scheme for motion JPEG2000 over wireless network.
IEEE Trans. Consumer Electron., 2006

Real-Time Human Object Extraction Method for Mobile Systems Based on Color Space Segmentation.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

Design Framework For JPEG2000 System Architecture.
Intell. Autom. Soft Comput., 2006

W-CDMA Channel Codec by Configurable Processors.
Intell. Autom. Soft Comput., 2006

Efficient memory architecture for JPEG2000 entropy codec.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Probabilistic Pedestrian Tracking Based on a Skeleton Model.
Proceedings of the International Conference on Image Processing, 2006

Quantitative Prediction of On-chip Capacitive and Inductive Crosstalk Noise and Discussion on Wire Cross-Sectional Area Toward Inductive Crosstalk Free Interconnects.
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006

A gate delay model focusing on current fluctuation over wide-range of process and environmental variability.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Automated Design of Digital Filters for 3-D Sound Localization in Embedded Applications.
Proceedings of the 2006 IEEE International Conference on Acoustics Speech and Signal Processing, 2006

Measurement of Inductive Coupling Effect on Timing in 90nm Global Interconnects.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006

Measurement results of delay degradation due to power supply noise well correlated with full-chip simulation.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006

<i>m</i>-ActiveCube; Multimedia Extension of Spatial Tangible User Interface.
Proceedings of the Biologically Inspired Approaches to Advanced Information Technology, 2006

2005
Embedded 3D sound movement system based on feature extraction of head-related transfer function.
IEEE Trans. Consumer Electron., 2005

Design of Realtime 3-D Sound Processing System.
IEICE Trans. Inf. Syst., 2005

Architecture of IEEE802.11i Cipher Algorithms for Embedded Systems.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2005

Design of Ogg Vorbis Decoder System for Embedded Platform.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2005

High quality Motion JPEG2000 coding scheme based on the human visual system.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

3D sound movement system for embedded applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Measurement and analysis of delay variation due to inductive coupling.
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005

2004
JPEG2000 high-speed progressive decoding scheme.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

C-based hardware design of IMDCT accelerator for Ogg Vorbis decoder.
Proceedings of the 2004 12th European Signal Processing Conference, 2004

SoC design of Ogg Vorbis decoder using embedded processor.
Proceedings of the First Conference on Computing Frontiers, 2004

Scalable Design Framework for JPEG2000 System Architecture.
Proceedings of the Advances in Computer Systems Architecture, 9th Asia-Pacific Conference, 2004

2003
Embedded implementation of acoustic field enhancement for stereo sound sources.
IEEE Trans. Consumer Electron., 2003

Single DSP Implementation of Realtime 3D Sound Synthesis Algorithm.
J. Circuits Syst. Comput., 2003

Object Sharing Scheme for Heterogeneous Environment.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

Implementation of Java Accelerator for High-Performance Embedded Systems.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

Interactive interface of realtime 3D sound movement for embedded applications.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Design framework for JPEG2000 encoding system architecture.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Real-time face object extraction for video phone.
Proceedings of the 2003 International Conference on Image Processing, 2003

A DSP-Based 3-D Sound Synthesis System for Moving Sound Images.
Proceedings of the 4th International Conference on Intelligent Games and Simulation (GAME-ON 2003), 2003

2002
An Embedded Zerotree Wavelet Video Coding Algorithm with Reduced Memory Bandwidth.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2002

Error Detection by Digital Watermarking for MPEG-4 Video Coding.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2002

Performance Estimation at Architecture Level for Embedded Systems.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2002

Design of Embedded System for Video Coding with Logic-Enhanced DRAM and Configurable Process.
Proceedings of the 10th IEEE International Workshop on Memory Technology, 2002

System-Level Design of IEEE1394 Bus Segment Bridge.
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002

VLSI architecture of digital matched filter and prime interleaver for W-CDMA.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Error correction block based ARQ protocol for wireless digital video transmission.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

JPEG2000 adaptive rate control for embedded systems.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Adaptive rate control for JPEG2000 image coding in embedded systems.
Proceedings of the 2002 International Conference on Image Processing, 2002

Burst mode: a new acceleration mode for 128-bit block ciphers.
Proceedings of the IEEE 2002 Custom Integrated Circuits Conference, 2002

High speed JPEG2000 encoder by configurable processor.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2002, 2002

Implementation of wireless MPEG2 transmission system using IEEE 802.11b PHY.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2002, 2002

Embedded implementation of acoustic field enhancement for stereo headphones.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2002, 2002

Realtime face object extraction algorithm for video phone.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2002, 2002

2001
Spatiotemporal segmentation for compact video representation.
Signal Process. Image Commun., 2001

A design of LUT-array-based PLD and a synthesis approach based on sum of generalized complex terms expression.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

VLSI architecture of dynamically reconfigurable hardware-based cipher.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

IEEE1394 system simulation environment and a design of its link layer controller.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

High Performance Java Hardware Engine and Software Kernel for Embedded Systems.
Proceedings of the SOC Design Methodologies, 2001

DSP implementation of 3D sound localization algorithm for monaural sound source.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

Two-dimensional array layout for NMOS 4-phase dynamic logic.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

Realtime wavelet video coder based on reduced memory accessing.
Proceedings of ASP-DAC 2001, 2001

A dynamically reconfigurable hardware-based cipher chip.
Proceedings of ASP-DAC 2001, 2001

2000
VLSI Implementation of a Reduced Memory Bandwidth Realtime EZW Video Coder.
Proceedings of the 2000 International Conference on Image Processing, 2000

VLSI implementation of a realtime wavelet video coder.
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference, 2000

Layout generation of array cell for NMOS 4-phase dynamic logic (short paper).
Proceedings of ASP-DAC 2000, 2000

1999
An architecture of a matrix-vector multiplier dedicated to video decoding and three-dimensional computer graphics.
IEEE Trans. Circuits Syst. Video Technol., 1999

Recursive maximum likelihood decoder for high-speed satellite communication.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

Hybrid media-processor core for natural and synthetic video decoding.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

FeRAM Circuit Technology for System on a Chip.
Proceedings of the 1st NASA / DoD Workshop on Evolvable Hardware (EH '99), 1999

1998
A low-power DSP core architecture for low bitrate speech codec.
Proceedings of the 1998 IEEE International Conference on Acoustics, 1998

Implementation of H.324 audiovisual codec for mobile computing.
Proceedings of the IEEE 1998 Custom Integrated Circuits Conference, 1998

Low-Power Implementation of H.324 Audiovisual Codec Dedicated to Mobile Computing.
Proceedings of the ASP-DAC '98, 1998

1997
Single Chip Implementation of Encoder-Decoder for Low Bit Rate Visual Communication.
J. Circuits Syst. Comput., 1997

Voice and Telephony Over ATM for Multimedia Network using Shared VCI Cell.
J. Circuits Syst. Comput., 1997

An object code compression approach to embedded processors.
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997

Low-power H.263 video CoDec dedicated to mobile computing.
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997

1995
VLSI implementation of inverse discrete cosine transformer and motion compensator for MPEG2 HDTV video decoding.
IEEE Trans. Circuits Syst. Video Technol., 1995

1994
Multi-Threaded Processor for Image Generation.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994


  Loading...