Bo Zhao

Affiliations:
  • University of Pittsburgh, Electrical and Computer Engineering Department, PA, USA (PhD 2013)


According to our database1, Bo Zhao authored at least 19 papers between 2009 and 2015.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2015
Simple Virtual Channel Allocation for High-Throughput and High-Frequency On-Chip Routers.
ACM Trans. Parallel Comput., 2015

Constructing Large and Fast On-Chip Cache for Mobile Processors with Multilevel Cell STT-MRAM Technology.
ACM Trans. Design Autom. Electr. Syst., 2015

2014
Throughput Enhancement for Phase Change Memories.
IEEE Trans. Computers, 2014

Errata to "Process Variation-Aware Nonuniform Cache Management in a 3D Die-Stacked Multicore Processor".
IEEE Trans. Computers, 2014

A low power and reliable charge pump design for Phase Change Memories.
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014

2013
Common-source-line array: An area efficient memory architecture for bipolar nonvolatile devices.
ACM Trans. Design Autom. Electr. Syst., 2013

Process Variation-Aware Nonuniform Cache Management in a 3D Die-Stacked Multicore Processor.
IEEE Trans. Computers, 2013

Hardware-Assisted Cooperative Integration of Wear-Leveling and Salvaging for Phase Change Memory.
ACM Trans. Archit. Code Optim., 2013

A speculative arbiter design to enable high-frequency many-VC router in NoCs.
Proceedings of the 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2013

2012
Improving write operations in MLC phase change memory.
Proceedings of the 18th IEEE International Symposium on High Performance Computer Architecture, 2012

Architecting a common-source-line array for bipolar non-volatile memory devices.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Constructing large and fast multi-level cell STT-MRAM based cache for embedded processors.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
MRAC: A Memristor-based Reconfigurable Framework for Adaptive Cache Replacement.
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011

2010
Phase-Change Technology and the Future of Main Memory.
IEEE Micro, 2010

2009
Variation-tolerant non-uniform 3D cache management in die stacked multicore processor.
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009

A durable and energy efficient main memory using phase change memory technology.
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009

Energy reduction for STT-RAM using early write termination.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

A low-radix and low-diameter 3D interconnection network design.
Proceedings of the 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 2009

Frequent value compression in packet-based NoC architectures.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009


  Loading...