Benjamin C. Lee

Orcid: 0000-0001-5615-3338

Affiliations:
  • Microsoft Research


According to our database1, Benjamin C. Lee authored at least 70 papers between 2002 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Carbon Responder: Coordinating Demand Response for the Datacenter Fleet.
CoRR, 2023

HHVM Performance Optimization for Large Scale Web Services.
Proceedings of the 2023 ACM/SPEC International Conference on Performance Engineering, 2023

2022
Phronesis: Efficient Performance Modeling for High-dimensional Configuration Tuning.
ACM Trans. Archit. Code Optim., 2022

Label conditioned segmentation.
Proceedings of the International Conference on Medical Imaging with Deep Learning, 2022

Spatiotemporal Strategies for Long-Term FPGA Resource Management.
Proceedings of the International IEEE Symposium on Performance Analysis of Systems and Software, 2022

2021
Bayesian Optimization for Efficient Accelerator Synthesis.
ACM Trans. Archit. Code Optim., 2021

Talaria: A Framework for Simulation of Permissioned Blockchains for Logistics and Beyond.
CoRR, 2021

Learning Sparse Matrix Row Permutations for Efficient SpMM on GPU Architectures.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2021

Charon: A Framework for Microservice Overload Control.
Proceedings of the HotNets '21: The 20th ACM Workshop on Hot Topics in Networks, 2021

2020
Dynamic Colocation Policies with Reinforcement Learning.
ACM Trans. Archit. Code Optim., 2020

Prospector: Synthesizing Efficient Accelerators via Statistical Learning.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Distributed strategies for computational sprints.
Commun. ACM, 2019

Image Registration in Medical Robotics and Intelligent Systems: Fundamentals and Applications.
Adv. Intell. Syst., 2019

DynaSprint: Microarchitectural Sprints with Dynamic Utility and Thermal Management.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

2018
Managing Heterogeneous Datacenters with Tokens.
ACM Trans. Archit. Code Optim., 2018

Hound: Causal Learning for Datacenter-scale Straggler Diagnosis.
Proc. ACM Meas. Anal. Comput. Syst., 2018

Dynamic Proportional Sharing: A Game-Theoretic Approach.
Proc. ACM Meas. Anal. Comput. Syst., 2018

MAPS: Understanding Metadata Access Patterns in Secure Memory.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2018

Swing: Swarm Computing for Mobile Sensing.
Proceedings of the 38th IEEE International Conference on Distributed Computing Systems, 2018

Amdahl's Law in the Datacenter Era: A Market for Fair Processor Allocation.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018

2017
Computational Sprinting: Architecture, Dynamics, and Strategies.
ACM Trans. Comput. Syst., 2017

Predicting Sensory Data and Extending Battery Life for Wearable Devices.
Proceedings of the 18th International Workshop on Mobile Computing Systems and Applications, 2017

Cooper: Task Colocation with Cooperative Games.
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017

2016
Datacenter Design and Management: A Computer Architect's Perspective
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01752-0, 2016

A framework for collaborative sensing and processing of mobile data streams: demo.
Proceedings of the 22nd Annual International Conference on Mobile Computing and Networking, 2016

PoisonIvy: Safe speculation for secure memory.
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016

Evaluating asymmetric multiprocessing for mobile applications.
Proceedings of the 2016 IEEE International Symposium on Performance Analysis of Systems and Software, 2016

Decoupling Loads for Nano-Instruction Set Computers.
Proceedings of the 43rd ACM/IEEE Annual International Symposium on Computer Architecture, 2016

The Computational Sprinting Game.
Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems, 2016

2015
Variance Estimation for Myocardial Blood Flow by Dynamic PET.
IEEE Trans. Medical Imaging, 2015

Modeling Communication Costs in Blade Servers.
ACM SIGOPS Oper. Syst. Rev., 2015

Sharing Incentives and Fair Division for Multiprocessors.
IEEE Micro, 2015

Message from the general chair.
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015

Applied statistical inference for system design and management.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

2014
Market mechanisms for managing datacenters with heterogeneous microarchitectures.
ACM Trans. Comput. Syst., 2014

Strategies for anticipating risk in heterogeneous system design.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014

REF: resource elasticity fairness with sharing incentives for multiprocessors.
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2014

2013
Understanding the critical path in power state transition latencies.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

Understanding query complexity and its implications for energy-efficient web search.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

Disintegrated control for energy-efficient and heterogeneous memory systems.
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013

Navigating heterogeneous processors with market mechanisms.
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013

2012
Processor Optimization for Energy Efficiency.
Proceedings of the Handbook of Energy-Aware and Green Computing - Two Volume Set., 2012

Inferred Models for Dynamic and Sparse Hardware-Software Spaces.
Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture, 2012

Rethinking DRAM Power Modes for Energy Proportionality.
Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture, 2012

Towards energy-proportional datacenter memory with mobile DRAM.
Proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012), 2012

2011
Mobile processors for energy-efficient web search.
ACM Trans. Comput. Syst., 2011

Understanding sources of ineffciency in general-purpose chips.
Commun. ACM, 2011

2010
Applied inference: Case studies in microarchitectural design.
ACM Trans. Archit. Code Optim., 2010

Phase-Change Technology and the Future of Main Memory.
IEEE Micro, 2010

Phase change memory architecture and the quest for scalability.
Commun. ACM, 2010

Web search using mobile cores: quantifying and mitigating the price of efficiency.
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010

Understanding sources of inefficiency in general-purpose chips.
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010

Energy-performance tradeoffs in processor architecture and circuit design: a marginal cost analysis.
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010

2009
Better I/O through byte-addressable, persistent memory.
Proceedings of the 22nd ACM Symposium on Operating Systems Principles 2009, 2009

Architecting phase change memory as a scalable dram alternative.
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009

Empirical performance models for 3T1D memories.
Proceedings of the 27th International Conference on Computer Design, 2009

Design and test strategies for microarchitectural post-fabrication tuning.
Proceedings of the 27th International Conference on Computer Design, 2009

2008
CPR: Composable performance regression for scalable multiprocessor models.
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008

Roughness of microarchitectural design topologies and its implications for optimization.
Proceedings of the 14th International Conference on High-Performance Computer Architecture (HPCA-14 2008), 2008

Efficiency trends and limits from comprehensive microarchitectural adaptivity.
Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems, 2008

2007
Spatial Sampling and Regression Strategies.
IEEE Micro, 2007

Methods of inference and learning for performance modeling of parallel applications.
Proceedings of the 12th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2007

Illustrative Design Space Studies with Microarchitectural Regression Models.
Proceedings of the 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 2007

2006
ACM student research competition finalists - Statistical inference for efficient microarchitectural and application analysis.
Proceedings of the ACM/IEEE SC2006 Conference on High Performance Networking and Computing, 2006

ACM student research competition reception - Statistical inference for efficient microarchitectural and application analysis.
Proceedings of the ACM/IEEE SC2006 Conference on High Performance Networking and Computing, 2006

CMP design space exploration subject to physical constraints.
Proceedings of the 12th International Symposium on High-Performance Computer Architecture, 2006

Accurate and efficient regression modeling for microarchitectural performance and power prediction.
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, 2006

2004
Performance Models for Evaluation and Automatic Tuning of Symmetric Sparse Matrix-Vector Multiply.
Proceedings of the 33rd International Conference on Parallel Processing (ICPP 2004), 2004

2002
Performance optimizations and bounds for sparse matrix-vector multiply.
Proceedings of the 2002 ACM/IEEE conference on Supercomputing, 2002

A sensitivity measure for image reconstruction from irregular 2-D DTFT samples.
Proceedings of the IEEE International Conference on Acoustics, 2002


  Loading...