Enrico Mezzetti

Orcid: 0000-0002-1886-2931

Affiliations:
  • Barcelona Supercomputing Center, Spain
  • University of Padua, Italy (former)


According to our database1, Enrico Mezzetti authored at least 62 papers between 2010 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Main sources of variability and non-determinism in AD software: taxonomy and prospects to handle them.
Real Time Syst., September, 2023

On Neural Networks Redundancy and Diversity for Their Use in Safety-Critical Systems.
Computer, May, 2023

Vector Extensions in COTS Processors to Increase Guaranteed Performance in Real-Time Systems.
ACM Trans. Embed. Comput. Syst., March, 2023

Accurately Measuring Contention in Mesh NoCs in Time-Sensitive Embedded Systems.
ACM Trans. Design Autom. Electr. Syst., 2023

ASCOM: Affordable Sequence-aware COntention Modeling in Crossbar-based MPSoCs.
Proceedings of the 38th ACM/SIGAPP Symposium on Applied Computing, 2023

Improving Timing-Related Guarantees for Main Memory in Multicore Critical Embedded Systems.
Proceedings of the IEEE Real-Time Systems Symposium, 2023

Quasi Isolation QoS Setups to Control MPSoC Contention in Integrated Software Architectures.
Proceedings of the 35th Euromicro Conference on Real-Time Systems, 2023


Standardizing the Probabilistic Sources of Uncertainty for the sake of Safety Deep Learning.
Proceedings of the Workshop on Artificial Intelligence Safety 2023 (SafeAI 2023) co-located with the Thirty-Seventh AAAI Conference on Artificial Intelligence (AAAI 2023), 2023

2022
Using Markov's Inequality with Power-Of-k Function for Probabilistic WCET Estimation.
Proceedings of the 34th Euromicro Conference on Real-Time Systems, 2022

Using Quantile Regression in Neural Networks for Contention Prediction in Multicore Processors.
Proceedings of the 34th Euromicro Conference on Real-Time Systems, 2022

2021
MUCH: exploiting pairwise hardware event monitor correlations for improved timing analysis of complex MPSoCs.
Proceedings of the SAC '21: The 36th ACM/SIGAPP Symposium on Applied Computing, 2021

PRL: Standardizing Performance Monitoring Library for High-Integrity Real-Time Systems.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

Leveraging Hardware QoS to Control Contention in the Xilinx Zynq UltraScale+ MPSoC.
Proceedings of the 33rd Euromicro Conference on Real-Time Systems, 2021

2020
HRM: Merging Hardware Event Monitors for Improved Timing Analysis of Complex MPSoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

On the reliability of hardware event monitors in MPSoCs for critical domains.
Proceedings of the SAC '20: The 35th ACM/SIGAPP Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30, 2020

Modeling Contention Interference in Crossbar-based Systems via Sequence-Aware Pairing (SeAP).
Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium, 2020

Timing of Autonomous Driving Software: Problem Analysis and Prospects for Future Solutions.
Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium, 2020

Tracing Hardware Monitors in the GR712RC Multicore Platform: Challenges and Lessons Learnt from a Space Case Study.
Proceedings of the 32nd Euromicro Conference on Real-Time Systems, 2020

2019
Increasing the Reliability of Software Timing Analysis for Cache-Based Processors.
IEEE Trans. Computers, 2019

Probabilistic Worst-Case Timing Analysis: Taxonomy and Comprehensive Survey.
ACM Comput. Surv., 2019

ePAPI: Performance Application Programming Interface for Embedded Platforms.
Proceedings of the 19th International Workshop on Worst-Case Execution Time Analysis, 2019

On assessing the viability of probabilistic scheduling with dependent tasks.
Proceedings of the 34th ACM/SIGAPP Symposium on Applied Computing, 2019

Accurate ILP-Based Contention Modeling on Statically Scheduled Multicore Systems.
Proceedings of the 25th IEEE Real-Time and Embedded Technology and Applications Symposium, 2019

Generating and Exploiting Deep Learning Variants to Increase Heterogeneous Resource Utilization in the NVIDIA Xavier.
Proceedings of the 31st Euromicro Conference on Real-Time Systems, 2019

AURIX TC277 Multicore Contention Model Integration for Automotive Applications.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Towards limiting the impact of timing anomalies in complex real-time processors.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

2018
Fitting Software Execution-Time Exceedance into a Residual Random Fault in ISO-26262.
IEEE Trans. Reliab., 2018

High-Integrity Performance Monitoring Units in Automotive Chips for Reliable Timing V&V.
IEEE Micro, 2018

Reconciling Time Predictability and Performance in Future Computing Systems.
IEEE Des. Test, 2018

NoCo: ILP-Based Worst-Case Contention Estimation for Mesh Real-Time Manycores.
Proceedings of the 2018 IEEE Real-Time Systems Symposium, 2018

Measurement-based cache representativeness on multipath programs.
Proceedings of the 55th Annual Design Automation Conference, 2018

Modelling multicore contention on the AURIX<sup><i>TM</i></sup> TC27x.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
On uses of extreme value theory fit for industrial-quality WCET analysis.
Proceedings of the 12th IEEE International Symposium on Industrial Embedded Systems, 2017

Work-in-Progress Paper: An Analysis of the Impact of Dependencies on Probabilistic Timing Analysis and Task Scheduling.
Proceedings of the 2017 IEEE Real-Time Systems Symposium, 2017

EPC Enacted: Integration in an Industrial Toolbox and Use against a Railway Application.
Proceedings of the 2017 IEEE Real-Time and Embedded Technology and Applications Symposium, 2017

Software Time Reliability in the Presence of Cache Memories.
Proceedings of the Reliable Software Technologies - Ada-Europe 2017, 2017

MC2: Multicore and Cache Analysis via Deterministic and Probabilistic Jitter Bounding.
Proceedings of the Reliable Software Technologies - Ada-Europe 2017, 2017

2016
Measurement-Based Timing Analysis of the AURIX Caches.
Proceedings of the 16th International Workshop on Worst-Case Execution Time Analysis, 2016

Mitigating Software-Instrumentation Cache Effects in Measurement-Based Timing Analysis.
Proceedings of the 16th International Workshop on Worst-Case Execution Time Analysis, 2016


2015
Randomized Caches Can Be Pretty Useful to Hard Real-Time Systems.
Leibniz Trans. Embed. Syst., 2015

Software-enforced Interconnect Arbitration for COTS Multicores.
Proceedings of the 15th International Workshop on Worst-Case Execution Time Analysis, 2015

WCET analysis methods: Pitfalls and challenges on their trustworthiness.
Proceedings of the 10th IEEE International Symposium on Industrial Embedded Systems, 2015

EPC: Extended Path Coverage for Measurement-Based Probabilistic Timing Analysis.
Proceedings of the 2015 IEEE Real-Time Systems Symposium, 2015

Experimental Evaluation of Optimal Schedulers Based on Partitioned Proportionate Fairness.
Proceedings of the 27th Euromicro Conference on Real-Time Systems, 2015

Timing analysis of an avionics case study on complex hardware/software platforms.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Challenges in the Implementation of MrsP.
Proceedings of the Reliable Software Technologies - Ada-Europe 2015, 2015

2014
Supporting Global Resource Sharing in RUN-scheduled Multiprocessor Systems.
Proceedings of the 22nd International Conference on Real-Time Networks and Systems, 2014

Putting RUN into Practice: Implementation and Evaluation.
Proceedings of the 26th Euromicro Conference on Real-Time Systems, 2014

2013
Measurement-based probabilistic timing analysis: Lessons from an integrated-modular avionics case study.
Proceedings of the 8th IEEE International Symposium on Industrial Embedded Systems, 2013

Kernel-level time composability for avionics applications.
Proceedings of the 28th Annual ACM Symposium on Applied Computing, 2013

A rapid cache-aware procedure positioning optimization to favor incremental development.
Proceedings of the 19th IEEE Real-Time and Embedded Technology and Applications Symposium, 2013

Limited preemptive scheduling of non-independent task sets.
Proceedings of the International Conference on Embedded Software, 2013

Towards a Time-Composable Operating System.
Proceedings of the Reliable Software Technologies, 2013

2012
Cache-aware development of high integrity real-time systems.
PhD thesis, 2012

A Time-composable Operating System.
Proceedings of the 12th International Workshop on Worst-Case Execution Time Analysis, 2012

Measurement-Based Probabilistic Timing Analysis for Multi-path Programs.
Proceedings of the 24th Euromicro Conference on Real-Time Systems, 2012

2010
Bounding the Effects of Resource Access Protocols on Cache Behavior.
Proceedings of the 10th International Workshop on Worst-Case Execution Time Analysis, 2010

Towards a Cache-Aware Development of High Integrity Real-Time Systems.
Proceedings of the 16th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2010

Preservation of Timing Properties with the Ada Ravenscar Profile.
Proceedings of the Reliable Software Technologiey, 2010

Cache-Aware Development of High-Integrity Systems.
Proceedings of the Reliable Software Technologiey, 2010


  Loading...