Hao Cai

Orcid: 0000-0001-9251-0574

According to our database1, Hao Cai authored at least 183 papers between 2003 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Layer-Sensitive Neural Processing Architecture for Error-Tolerant Applications.
IEEE Trans. Very Large Scale Integr. Syst., May, 2024

Intrinsic MRAM Properties Enable Security Circuits.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024

VoAD: A Sub-μW Multiscene Voice Activity Detector Deploying Analog-Frontend Digital-Backend Circuits.
IEEE Trans. Circuits Syst. II Express Briefs, February, 2024

A DTI registration algorithm based on spectral representation.
IET Image Process., February, 2024

A CFMB STT-MRAM-Based Computing-in-Memory Proposal With Cascade Computing Unit for Edge AI Devices.
IEEE Trans. Circuits Syst. I Regul. Pap., January, 2024

Sparsity-Oriented MRAM-Centric Computing for Efficient Neural Network Inference.
IEEE Trans. Emerg. Top. Comput., 2024

34.3 A 22nm 64kb Lightning-Like Hybrid Computing-in-Memory Macro with a Compressed Adder Tree and Analog-Storage Quantizers for Transformer and CNNs.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
CrowdMLP: Weakly-supervised crowd counting via multi-granularity MLP.
Pattern Recognit., December, 2023

W-AMA: Weight-aware Approximate Multiplication Architecture for neural processing.
Comput. Electr. Eng., October, 2023

Computing in-memory with cascaded spintronic devices for AI edge.
Comput. Electr. Eng., August, 2023

Multiplication Circuit Architecture for Error- Tolerant CNN-Based Keywords Speech Recognition.
IEEE Des. Test, June, 2023

Dependable STT-MRAM With Emerging Approximation and Speculation Paradigms.
IEEE Des. Test, June, 2023

AAD-KWS: A Sub-μ W Keyword Spotting Chip With an Acoustic Activity Detector Embedded in MFCC and a Tunable Detection Window in 28-nm CMOS.
IEEE J. Solid State Circuits, March, 2023

STNet: Scale Tree Network With Multi-Level Auxiliator for Crowd Counting.
IEEE Trans. Multim., 2023

Improving Gas Distribution Tomography: Evaluation of Algorithms and Fan-Beam Measurement Geometries Indoors.
IEEE Trans. Instrum. Meas., 2023

A Survey of MRAM-Centric Computing: From Near Memory to In Memory.
IEEE Trans. Emerg. Top. Comput., 2023

A Reconfigurable Approximate Computing Architecture With Dual-VDD for Low-Power Binarized Weight Network Deployment.
IEEE Trans. Circuits Syst. II Express Briefs, 2023

SketchBodyNet: A Sketch-Driven Multi-faceted Decoder Network for 3D Human Reconstruction.
CoRR, 2023

A Voice Disease Detection Method Based on MFCCs and Shallow CNN.
CoRR, 2023

Dynamic Mixture of Counter Network for Location-Agnostic Crowd Counting.
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2023

A 28nm 64-kb 31.6-TFLOPS/W Digital-Domain Floating-Point-Computing-Unit and Double-Bit 6T-SRAM Computing-in-Memory Macro for Floating-Point CNNs.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A 28nm 2Mb STT-MRAM Computing-in-Memory Macro with a Refined Bit-Cell and 22.4 - 41.5TOPS/W for AI Inference.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A FinFET Integrated STT-MRAM with Triple Balanced Access Strategy.
Proceedings of the IEEE International Conference on Integrated Circuits, 2023

An Energy-Efficient MAC Design with Error Compensation Using Hybrid Approximate Logic Synthesis.
Proceedings of the IEEE International Conference on Integrated Circuits, 2023

Spice-Compatible Modeling of Double Barrier MTJ for Highly Reliable Circuits.
Proceedings of the IEEE International Conference on Integrated Circuits, 2023

Temperature-Adaptive MRAM Sensing Using Varied Magneto-Resistance.
Proceedings of the IEEE International Conference on Integrated Circuits, 2023

Work-in-Process: Error-Compensation-Based Energy-Efficient MAC Unit for CNNs.
Proceedings of the International Conference on Compilers, 2023

Toward Energy-Efficient Sparse Matrix-Vector Multiplication with near STT-MRAM Computing Architecture.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
Writing-only in-MRAM computing paradigm for ultra-low power applications.
Microprocess. Microsystems, April, 2022

A Machine Learning Attack-Resilient Strong PUF Leveraging the Process Variation of MRAM.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

More is Less: Domain-Specific Speech Recognition Microprocessor Using One-Dimensional Convolutional Recurrent Neural Network.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Quality Driven Systematic Approximation for Binary-Weight Neural Network Deployment.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Proposal of Analog In-Memory Computing With Magnified Tunnel Magnetoresistance Ratio and Universal STT-MRAM Cell.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

An Efficient BCNN Deployment Method Using Quality-Aware Approximate Computing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

A Lightweight and Accurate UAV Detection Method Based on YOLOv4.
Sensors, 2022

CUDM: A Combined UAV Detection Model Based on Video Abnormal Behavior.
Sensors, 2022

Bit-error-rate aware sensing-error correction interaction in spintronic MRAM.
J. Syst. Archit., 2022

Deep learning-based object detection between train and rail transit platform door.
Int. J. Grid Util. Comput., 2022

CrowdMLP: Weakly-Supervised Crowd Counting via Multi-Granularity MLP.
CoRR, 2022

Self-compensation tensor multiplication unit for adaptive approximate computing in low-power CNN processing.
Sci. China Inf. Sci., 2022

MMTrans: MultiModal Transformer for realistic video virtual try-on.
Proceedings of the 18th ACM SIGGRAPH International Conference on Virtual-Reality Continuum and its Applications in Industry, 2022

Neighbor Collaboration-Based Secure Federated QoS Prediction for Smart Home Services.
Proceedings of the Services Computing - SCC 2022, 2022

Low-cost stochastic number generator based on MRAM for stochastic computing.
Proceedings of the 17th ACM International Symposium on Nanoscale Architectures, 2022

Realization of Memristor-aided Logic Gates with Analog Memristive Devices.
Proceedings of the 11th International Conference on Modern Circuits and Systems Technologies, 2022

A Low Power DNN-based Speech Recognition Processor with Precision Recoverable Approximate Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A TWN Inspired Speaker Verification Processor with Hardware-friendly Weight Quantization.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

A Novel Segmented Temperature Monitor for Adaptive MRAM.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

Towards Near LLC Speed STT-MRAM Sensing Using Reconfigurable Clock Trimming.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

A CGP-based Efficient Approximate Multiplier with Error Compensation.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

A High Reliability Sensing Amplifier for Hybrid MTJ/CMOS Circuits.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

Optimization of Algorithm for ATO Speed Controller of PID Control.
Proceedings of the 7th IEEE International Conference on Intelligent Transportation Engineering, 2022

A Target-Separable BWN Inspired Speech Recognition Processor with Low-power Precision-adaptive Approximate Computing.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Triple-Skipping Near-MRAM Computing Framework for AIoT Era.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

FL-MFGM: A Privacy-Preserving and High-Accuracy Blockchain Reliability Prediction Model.
Proceedings of the Blockchain and Trustworthy Systems - 4th International Conference, 2022

Approximate Computing for Energy-Constrained DNN-Based Speech Recognition.
Proceedings of the Approximate Computing, 2022

Spintronic Solutions for Approximate Computing.
Proceedings of the Approximate Computing, 2022

2021
Toward Energy-Efficient STT-MRAM Design With Multi-Modes Reconfiguration.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

A 510-nW Wake-Up Keyword-Spotting Chip Using Serial-FFT-Based MFCC and Binarized Depthwise Separable CNN in 28-nm CMOS.
IEEE J. Solid State Circuits, 2021

Twin support vector machines with privileged information.
Inf. Sci., 2021

Interlayer and intralayer scale aggregation for scale-invariant crowd counting.
Neurocomputing, 2021

A survey of in-spin transfer torque MRAM computing.
Sci. China Inf. Sci., 2021

Multilevel prioritization of gene regulators associated with consensus molecular subtypes of colorectal cancer.
Briefings Bioinform., 2021

A new twin SVM method with dictionary learning.
Appl. Intell., 2021

Hybrid MTJ-CMOS Integration for Sigma-Delta ADC.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2021

Cryogenic In-MRAM Computing.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2021

Analytical Delay Model in Near-Threshold Domain Considering Transition Time.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

In-MRAM Computing Elements with Single-Step Convolution and Fully Connected for BNN/TNN.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

A 1D-CRNN Inspired Reconfigurable Processor for Noise-robust Low-power Keywords Recognition.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Dual-Modality Vehicle Anomaly Detection via Bilateral Trajectory Tracing.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2021

Ultra-low Power Access Strategy for Process-Voltage-Temperature Aware STT-MRAM.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

A Novel Hybrid Nonvolatile SRAM for Suppressing Leakage Power Using Tunnel FET.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

A Self-regulating Dynamic Reference Sensing Scheme with Balanced Trade-Off between Read Disturbance and Sensing Margin.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

Modified Peripheral MRAM Sensing for In-memory Boolean Logic.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

2020
BSD-GAN: Branched Generative Adversarial Network for Scale-Disentangled Representation Learning and Image Synthesis.
IEEE Trans. Image Process., 2020

A Self-Timed Voltage-Mode Sensing Scheme With Successive Sensing and Checking for STT-MRAM.
IEEE Trans. Circuits Syst. I Fundam. Theory Appl., 2020

MTJ-LRB: Proposal of MTJ-Based Loop Replica Bitline as MRAM Device-Circuit Interaction for PVT-Robust Sensing.
IEEE Trans. Circuits Syst., 2020

A 22nm, 10.8 μ W/15.1 μ W Dual Computing Modes High Power-Performance-Area Efficiency Domained Background Noise Aware Keyword- Spotting Processor.
IEEE Trans. Circuits Syst., 2020

Magnetic Tunnel Junction Applications.
Sensors, 2020

An efficient multi-label learning method with label projection.
Knowl. Based Syst., 2020

No-reference image sharpness assessment based on discrepancy measures of structural degradation.
J. Vis. Commun. Image Represent., 2020

TG-SPP: A One-Transmission-Gate Short-Path Padding for Wide-Voltage-Range Resilient Circuits in 28-nm CMOS.
IEEE J. Solid State Circuits, 2020

Semi-Supervised Multi-view clustering based on orthonormality-constrained nonnegative matrix factorization.
Inf. Sci., 2020

Enhanced D-H: an improved convention for establishing a robot link coordinate system fixed on the joint.
Ind. Robot, 2020

Towards an automated design flow for memristor based VLSI circuits.
Integr., 2020

Corrigendum to "A novel sparse representation model for pedestrian abnormal trajectory understanding" [Expert Systems with Applications, Volume 138, 30 December 2019, 112753].
Expert Syst. Appl., 2020

Interplay Bitwise Operation in Emerging MRAM for Efficient In-memory Computing.
CCF Trans. High Perform. Comput., 2020

Intelligent Building System for 3D Construction of Complex Brick Models.
IEEE Access, 2020

ADNet: Adaptively Dense Convolutional Neural Networks.
Proceedings of the IEEE Winter Conference on Applications of Computer Vision, 2020

Binarized Weight Neural-Network Inspired Ultra-Low Power Speech Recognition Processor with Time-Domain Based Digital-Analog Mixed Approximate Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Magnetic Tunnel Junction-based Analog-to-Digital Converter using Spin Orbit Torque Mechanism.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

Implementation of Network Source Authentication and Path Validation Using Orthogonal Sequences.
Proceedings of the 29th International Conference on Computer Communications and Networks, 2020

Stochastic Multi-Scale Aggregation Network for Crowd Counting.
Proceedings of the 2020 IEEE International Conference on Acoustics, 2020

A Background Noise Self-adaptive VAD Using SNR Prediction Based Precision Dynamic Reconfigurable Approximate Computing.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

A Modeling Attack Resilient Physical Unclonable Function Based on STT-MRAM.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

A Learning-Based Timing Prediction Framework for Wide Supply Voltage Design.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

An Ultra-low Power Keyword-Spotting Accelerator Using Circuit-Architecture-System Co-design and Self-adaptive Approximate Computing Based BWN.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

2019
A Wide-Voltage-Range Half-Path Timing Error-Detection System With a 9-Transistor Transition-Detector in 40-nm CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Addressing Failure and Aging Degradation in MRAM/MeRAM-on-FDSOI Integration.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Towards a blind image quality evaluator using multi-scale second-order statistics.
Signal Process. Image Commun., 2019

Using game theory to optimize the allocation of defensive resources on a city scale to protect chemical facilities against multiple types of attackers.
Reliab. Eng. Syst. Saf., 2019

Semi-supervised multi-view clustering based on constrained nonnegative matrix factorization.
Knowl. Based Syst., 2019

Blind quality assessment of gamut-mapped images via local and global statistical analysis.
J. Vis. Commun. Image Represent., 2019

Chaotic discrete bat algorithm for capacitated vehicle routing problem.
Int. J. Auton. Adapt. Commun. Syst., 2019

Power load clustering algorithm for demand response.
Int. J. Auton. Adapt. Commun. Syst., 2019

A novel sparse representation model for pedestrian abnormal trajectory understanding.
Expert Syst. Appl., 2019

A rank-based algorithm of differential expression analysis for small cell line data with statistical control.
Briefings Bioinform., 2019

A Review of Sparse Recovery Algorithms.
IEEE Access, 2019

Nonlinear Functions in Learned Iterative Shrinkage-Thresholding Algorithm for Sparse Signal Recovery.
Proceedings of the 2019 IEEE International Workshop on Signal Processing Systems, 2019

An improved D-H convention for establishing a link coordinate system.
Proceedings of the 2019 IEEE International Conference on Robotics and Biomimetics, 2019

A Self-Timing Voltage-Mode Sense Amplifier for STT-MRAM Sensing Yield Improvement.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

REAL: Logic and Arithmetic Operations Embedded in RRAM for General-Purpose Computing.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

Comprehensive Pulse Shape Induced Failure Analysis in Voltage-Controlled MRAM.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

Pj-AxMTJ: Process-in-memory with Joint Magnetization Switching for Approximate Computing in Magnetic Tunnel Junction.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Deep Learning Approaches for Sparse Recovery in Compressive Sensing.
Proceedings of the 11th International Symposium on Image and Signal Processing and Analysis, 2019

Voltage-Controlled Magnetoelectric Memory Bit-cell Design With Assisted Body-bias in FD-SOI.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Simultaneously Learning Adaptive Neighbors and Clustering Label via Semi-Supervised NMF.
Proceedings of the 3rd International Conference on Computer Science and Application Engineering, 2019

A Global-Matching Framework for Multi-View Stereopsis.
Proceedings of the Computer Analysis of Images and Patterns, 2019

2018
HTD: A Light-Weight Holosymmetrical Transition Detector for Wide-Voltage-Range Variation Resilient ICs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

An efficient all-zero block detection algorithm for high efficiency video coding with RDOQ.
Signal Process. Image Commun., 2018

Integration of GPS, Monocular Vision, and High Definition (HD) Map for Accurate Vehicle Localization.
Sensors, 2018

Single-event transient effects on dynamic comparator in 28 nm FDSOI CMOS technology.
Microelectron. Reliab., 2018

A high-reliability and low-power computing-in-memory implementation within STT-MRAM.
Microelectron. J., 2018

A time varying constrict factor PSO algorithm research.
J. Comput. Methods Sci. Eng., 2018

Individualized analysis of differentially expressed miRNAs with application to the identification of miRNAs deregulated commonly in lung cancer tissues.
Briefings Bioinform., 2018

A Driving Fingerprint Map Method of Driving Characteristic Representation for Driver Identification.
IEEE Access, 2018

Correlation-Based Electromagnetic Analysis Attack Using Haar Wavelet Reconstruction with Low-Pass Filtering on an FPGA Implementaion of AES.
Proceedings of the 17th IEEE International Conference On Trust, 2018

Coding Pavement Lanes for Accurate Self-localization of Intelligent Vehicles.
Proceedings of the 2018 IEEE Intelligent Vehicles Symposium, 2018

A Robust Dual Reference Computing-in-Memory Implementation and Design Space Exploration Within STT-MRAM.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

MRAM-on-FDSOI Integration: A Bit-Cell Perspective.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Enabling Resilient Voltage-Controlled MeRAM Using Write Assist Techniques.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Self-Adapting Quorum-Based Neighbor Discovery in Wireless Sensor Networks.
Proceedings of the 2018 IEEE Conference on Computer Communications, 2018

Compressed Sensing for Wideband HF Channel Estimation.
Proceedings of the 4th International Conference on Frontiers of Signal Processing, 2018

Design Space Exploration of Magnetic Tunnel Junction based Stochastic Computing in Deep Learning.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

Reliability Emphasized MTJ/CMOS Hybrid Circuit Towards Ultra-Low Power.
Proceedings of the Conference on Design of Circuits and Integrated Systems, 2018

3D Visual Homing for Commodity UAVs.
Proceedings of the 15th Conference on Computer and Robot Vision, 2018

Stability and Variability Emphasized STT-MRAM Sensing Circuit With Performance Enhancement.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

2017
Robust Ultra-Low Power Non-Volatile Logic-in-Memory Circuits in FD-SOI Technology.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Quantization parameter cascading for video coding: leveraging a new temporal distortion propagation model.
Signal Image Video Process., 2017

Novel Pulsed-Latch Replacement in Non-Volatile Flip-Flop Core.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

Energy Efficient Magnetic Tunnel Junction Based Hybrid LSI Using Multi-Threshold UTBB-FD-SOI Device.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

A New All-Zero Block Detection Algorithm for High Efficiency Video Coding.
Proceedings of the 2017 Data Compression Conference, 2017

2016
A Qualitative Study on Implementation of the Intelligent Bed: Findings from a Rehabilitation Ward at a Large Chinese Tertiary Hospital.
Wirel. Pers. Commun., 2016

Practical Asynchronous Neighbor Discovery in Ad Hoc Networks With Directional Antennas.
IEEE Trans. Veh. Technol., 2016

Sparse Representation-Based Image Quality Index With Adaptive Sub-Dictionaries.
IEEE Trans. Image Process., 2016

A process-variation-resilient methodology of circuit design by using asymmetrical forward body bias in 28 nm FDSOI.
Microelectron. Reliab., 2016

Reliability analysis of hybrid spin transfer torque magnetic tunnel junction/CMOS majority voters.
Microelectron. Reliab., 2016

Efficient reliability evaluation methodologies for combinational circuits.
Microelectron. Reliab., 2016

Individualized identification of disease-associated pathways with disrupted coordination of gene expression.
Briefings Bioinform., 2016

A novel circuit design of true random number generator using magnetic tunnel junction.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016

Approximate computing in MOS/spintronic non-volatile full-adder.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016

Source Authentication and Path Validation in Networks Using Orthogonal Sequences.
Proceedings of the 25th International Conference on Computer Communication and Networks, 2016

2015
A Conceptual Framework for the Effect Evaluation of the Intelligent Bed.
Wirel. Pers. Commun., 2015

Compact thermal modeling of spin transfer torque magnetic tunnel junction.
Microelectron. Reliab., 2015

A dual-rail compact defect-tolerant multiplexer.
Microelectron. Reliab., 2015

Ultra wide voltage range consideration of reliability-aware STT magnetic flip-flop in 28 nm FDSOI technology.
Microelectron. Reliab., 2015

Cross-layer investigation of continuous-time sigma-delta modulator under aging effects.
Microelectron. Reliab., 2015

Accurate reliability analysis of concurrent checking circuits employing an efficient analytical method.
Microelectron. Reliab., 2015

Image Blur Assessment with Feature Points.
J. Inf. Hiding Multim. Signal Process., 2015

Saliency Guided Gradient Similarity for Fast Perceptual Blur Assessment.
IEICE Trans. Inf. Syst., 2015

Sparse Representation Based Image Quality Assessment with Adaptive Sub-dictionary Selection.
Proceedings of the Advances in Multimedia Information Processing - PCM 2015, 2015

Stochastic computation with Spin Torque Transfer Magnetic Tunnel Junction.
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015

On 2-way neighbor discovery in wireless networks with directional antennas.
Proceedings of the 2015 IEEE Conference on Computer Communications, 2015

Source authentication and path validation with orthogonal network capabilities.
Proceedings of the 2015 IEEE Conference on Computer Communications Workshops, 2015

Multi-criteria Routing in Networks with Path Choices.
Proceedings of the 23rd IEEE International Conference on Network Protocols, 2015

2014
Reliability-aware delay faults evaluation of CMOS flip-flops.
Proceedings of the 21st International Conference Mixed Design of Integrated Circuits and Systems, 2014

Efficient implementation for accurate analysis of CED circuits against multiple faults.
Proceedings of the 21st International Conference Mixed Design of Integrated Circuits and Systems, 2014

Simulation study of aging in CMOS binary adders.
Proceedings of the 37th International Convention on Information and Communication Technology, 2014

OrthCredential: A New Network Capability Design for High-Performance Access Control.
Proceedings of the 22nd IEEE International Conference on Network Protocols, 2014

Efficient computation of combinational circuits reliability based on probabilistic transfer matrix.
Proceedings of the 2014 IEEE International Conference on IC Design & Technology, 2014

2013
A fast reliability-aware approach for analogue integrated circuits based on Pareto fronts.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

A general cost-effective design structure for probabilistic-based noise-tolerant logic functions in nanometer CMOS technology.
Proceedings of Eurocon 2013, 2013

Reliability analysis of combinational circuits with the influences of noise and single-event transients.
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013

Design of credentials for high-speed access control in service-oriented networks.
Proceedings of the Symposium on Architecture for Networking and Communications Systems, 2013

2012
A Hierarchical Reliability Simulation Methodology for AMS Integrated Circuits and Systems.
J. Low Power Electron., 2012

Neighbor discovery algorithms in wireless networks using directional antennas.
Proceedings of IEEE International Conference on Communications, 2012

Specification and composition of network services in future internet architectures.
Proceedings of the 2012 ACM conference on CoNEXT student workshop, 2012

2011
Reliability aware design of low power continuous-time sigma-delta modulator.
Microelectron. Reliab., 2011

An integrated model for the Environmental Impact Assessment of Highways in China.
Proceedings of EUROCON 2011, 2011

2005
A virtual machine for high integrity real-time systems.
PhD thesis, 2005

Temporal Isolation in Ravenscar-Java.
Proceedings of the Eighth IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2005), 2005

2004
Supporting Mixed Criticality Applications in a Ravenscar-Java Environment.
Proceedings of the On the Move to Meaningful Internet Systems 2004: OTM 2004 Workshops: OTM Confederated International Workshops and Posters, 2004

A Real-Time Isolate Specification for Ravenscar-Java.
Proceedings of the 7th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2004), 2004

2003
Towards a High Integrity Real-Time Java Virtual Machine.
Proceedings of the On The Move to Meaningful Internet Systems 2003: OTM 2003 Workshops, 2003


  Loading...