Madeleine Glick

Orcid: 0000-0003-3042-2039

According to our database1, Madeleine Glick authored at least 38 papers between 2004 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
SiP Architecture For Accelerating Collective Communication in Distributed Deep Learning.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2023

Efficient Intra-Rack Resource Disaggregation for HPC Using Co-Packaged DWDM Photonics.
Proceedings of the IEEE International Conference on Cluster Computing, 2023

2022
A Case For Intra-rack Resource Disaggregation in HPC.
ACM Trans. Archit. Code Optim., 2022

Performance trade-offs in reconfigurable networks for HPC.
JOCN, 2022

Accelerating Distributed Machine Learning in Disaggregated Architectures with Flexible Optically Interconnected Computing Resources.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

Bandwidth Reconfigurable Optical Switching Architecture for CPU-GPU Computing Systems with Shared Memory.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

2021
SiP-ML: high-bandwidth optical network interconnects for machine learning training.
Proceedings of the ACM SIGCOMM 2021 Conference, Virtual Event, USA, August 23-27, 2021., 2021

Sequence or Pseudo-Sequence? An Analysis of Sequential Recommendation Datasets.
Proceedings of the Perspectives on the Evaluation of Recommender Systems Workshop 2021 co-located with the 15th ACM Conference on Recommender Systems (RecSys 2021), 2021

Silicon Photonic Switch-Enabled Server Regrouping Using Bandwidth Steering for Distributed Deep Learning Training.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2021

Narrative Embedding: Re-Contextualization Through Attention.
Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, 2021

2020
Silicon Photonics Codesign for Deep Learning.
Proc. IEEE, 2020

PINE: Photonic Integrated Networked Energy efficient datacenters (ENLITENED Program) [Invited].
JOCN, 2020

TAGO: rethinking routing design in high performance reconfigurable networks.
Proceedings of the International Conference for High Performance Computing, 2020

Optically Connected Memory for Disaggregated Data Centers.
Proceedings of the 32nd IEEE International Symposium on Computer Architecture and High Performance Computing, 2020

Silicon Photonic Multi-Chip Module Interconnects for Disaggregated Data Centers.
Proceedings of the 2020 International Conference on Optical Network Design and Modeling, 2020

2019
Bandwidth steering in HPC using silicon nanophotonics.
Proceedings of the International Conference for High Performance Computing, 2019

Highly-Efficient Optical Equalization Using a Silicon Photonic Switch for Pulsewidth Distortion Mitigation.
Proceedings of the 2019 24th OptoElectronics and Communications Conference (OECC) and 2019 International Conference on Photonics in Switching and Computing (PSC), 2019

Fabric-Wide, Penalty-Optimized Path Routing Algorithms for Integrated Optical Switches.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2019

2018
Keynote 1: Photonic Interconnects for Extreme Scale Computing.
Proceedings of the 8th IEEE/ACM Workshop on Irregular Applications: Architectures and Algorithms, 2018

Architectural Opportunities and Challenges from Emerging Photonics in Future Systems.
Proceedings of the Photonics in Switching and Computing, 2018

Transceiver Scaling and the IPSR-I Roadmap.
Proceedings of the 2018 20th International Conference on Transparent Optical Networks (ICTON), 2018

Advanced Routing Strategy with Highly-Efficient Fabric-Wide Characterization for Optical Integrated Switches.
Proceedings of the 2018 20th International Conference on Transparent Optical Networks (ICTON), 2018

Si/SiN Microring-Based Optical Router in Switch-and-Select Topology.
Proceedings of the European Conference on Optical Communication, 2018

2017
Optical circuit granularity impact in TCP-dominant hybrid data center networks.
Proceedings of the 2017 International Conference on Computing, 2017

2016
TCP Flow Classification and Bandwidth Aggregation in Optically Interconnected Data Center Networks.
JOCN, 2016

ProjecToR: Agile Reconfigurable Data Center Interconnect.
Proceedings of the ACM SIGCOMM 2016 Conference, Florianopolis, Brazil, August 22-26, 2016, 2016

Topology implications in cyber-physical software-defined optical transmission networks.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2016

Machine learning based adaptive flow classification for optically interconnected data centers.
Proceedings of the 18th International Conference on Transparent Optical Networks, 2016

Optical implementation of probabilistic graphical models.
Proceedings of the IEEE International Conference on Rebooting Computing, 2016

2015
Cyber-Physical Interdependency in Dynamic Software-Defined Optical Transmission Networks.
JOCN, 2015

Design Methodology for Optimizing Optical Interconnection Networks in High Performance Systems.
Proceedings of the High Performance Computing - 30th International Conference, 2015

2014
Wavelength locking of a WDM silicon microring demultiplexer using dithering signals.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2014

2013
Scaling Star-Coupler-Based Optical Networks for Avionics Applications.
JOCN, 2013

2012
Improving fixed-point accuracy of FFT cores in O-OFDM systems.
Proceedings of the 2012 IEEE International Conference on Acoustics, 2012

2011
Optics in the Data Center: Introduction to the Feature Issue.
JOCN, 2011

2009
Your Data Center Is a Router: The Case for Reconfigurable Optical Circuit Switched Paths.
Proceedings of the Eight ACM Workshop on Hot Topics in Networks (HotNets-VIII), 2009

2008
Optical Interconnects in Next Generation Data Centers: An End to End View.
Proceedings of the 16th Annual IEEE Symposium on High Performance Interconnects (HOTI 2008), 2008

2004
Structured Errors in Optical Gigabit Ethernet Packets.
Proceedings of the Passive and Active Network Measurement, 5th International Workshop, 2004


  Loading...