Keren Bergman

Orcid: 0000-0001-8580-1728

According to our database1, Keren Bergman authored at least 158 papers between 1994 and 2024.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 2009, "For contributions to development of optical interconnection and transport networks".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Flexible silicon photonic architecture for accelerating distributed deep learning.
J. Opt. Commun. Netw., February, 2024

2023
Enabling Quasi-Static Reconfigurable Networks With Robust Topology Engineering.
IEEE/ACM Trans. Netw., June, 2023

SiP Architecture For Accelerating Collective Communication in Distributed Deep Learning.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2023

Dispersion-Engineered and Fabrication-Robust SOI Waveguides for Ultra-Broadband DWDM.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2023

Low-Loss Wide-FSR Miniaturized Racetrack Style Microring Filters for ⩾1 Tbps DWDM.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2023

CMOS-Foundry Compatible, Broadband, and Compact Routing of Multimode SOI Waveguides.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2023

Universal CMOS-Foundry Compatible Platform for Ultra-Low Loss SOI Waveguide Bends.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2023

Simultaneous Error-Free Data Modulation with Silicon Microdisks in the Multi-FSR Regime for Scalable DWDM Links.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2023

Ultra-dense 3D integrated 5.3 Tb/s/mm<sup>2</sup> 80 micro-disk modulator transmitter.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2023

Efficient Intra-Rack Resource Disaggregation for HPC Using Co-Packaged DWDM Photonics.
Proceedings of the IEEE International Conference on Cluster Computing, 2023

2022
Massively scalable wavelength diverse integrated photonic linear neuron.
Neuromorph. Comput. Eng., June, 2022

A Case For Intra-rack Resource Disaggregation in HPC.
ACM Trans. Archit. Code Optim., 2022

Optically connected memory for disaggregated data centers.
J. Parallel Distributed Comput., 2022

Performance trade-offs in reconfigurable networks for HPC.
JOCN, 2022

Silicon Photonics.
Computer, 2022

Accelerating Distributed Machine Learning in Disaggregated Architectures with Flexible Optically Interconnected Computing Resources.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

Bandwidth Reconfigurable Optical Switching Architecture for CPU-GPU Computing Systems with Shared Memory.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

Streamlined Architecture for Thermal Control and Stabilization of Cascaded DWDM Micro-Ring Filters Bus.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

2021
FLEET - Fast Lanes for Expedited Execution at 10 Terabits: Program Overview.
IEEE Internet Comput., 2021

SiP-ML: high-bandwidth optical network interconnects for machine learning training.
Proceedings of the ACM SIGCOMM 2021 Conference, Virtual Event, USA, August 23-27, 2021., 2021

Designing data center networks using bottleneck structures.
Proceedings of the ACM SIGCOMM 2021 Conference, Virtual Event, USA, August 23-27, 2021., 2021

Silicon Photonic Switch-Enabled Server Regrouping Using Bandwidth Steering for Distributed Deep Learning Training.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2021

Novel Scalable and Reconfigurable Optical Fronthaul Network for Converged Radio Frequency and Data Services Using Silicon Photonic Switching.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2021

Kerr Comb-Driven Silicon Photonic Transmitter.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2021

3D-Integrated Multichip Module Transceiver for Terabit-Scale DWDM Interconnects.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2021

2020
Silicon Photonics Codesign for Deep Learning.
Proc. IEEE, 2020

Flexspander: augmenting expander networks in high-performance systems with optical bandwidth steering.
JOCN, 2020

PINE: Photonic Integrated Networked Energy efficient datacenters (ENLITENED Program) [Invited].
JOCN, 2020

COUDER: Robust Topology Engineering for Optical Circuit Switched Data Center Networks.
CoRR, 2020

METTEOR: Robust Multi-Traffic Topology Engineering for Commercial Data Center Networks.
CoRR, 2020

TAGO: rethinking routing design in high performance reconfigurable networks.
Proceedings of the International Conference for High Performance Computing, 2020

Optically Connected Memory for Disaggregated Data Centers.
Proceedings of the 32nd IEEE International Symposium on Computer Architecture and High Performance Computing, 2020

Silicon Photonic Multi-Chip Module Interconnects for Disaggregated Data Centers.
Proceedings of the 2020 International Conference on Optical Network Design and Modeling, 2020

Polarization-Diversity Microring-Based Optical Switch Fabric in a Switch-and-Select Architecture.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2020

FPGA Implementation of Deep Neural Network Based Equalizers for High-Speed PON.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2020

High-Performance Microring-Assisted Space-and-Wavelength Selective Switch.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2020

Experimental Demonstration of PAM-4 Transmission through Microring Silicon Photonic Clos Switch Fabric.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2020

A Scalable Architecture for CNN Accelerators Leveraging High-Performance Memories.
Proceedings of the 2020 IEEE High Performance Extreme Computing Conference, 2020

2019
Bandwidth steering in HPC using silicon nanophotonics.
Proceedings of the International Conference for High Performance Computing, 2019

Highly-Efficient Optical Equalization Using a Silicon Photonic Switch for Pulsewidth Distortion Mitigation.
Proceedings of the 2019 24th OptoElectronics and Communications Conference (OECC) and 2019 International Conference on Photonics in Switching and Computing (PSC), 2019

Flexibly Scalable High Performance Architectures with Embedded Photonics.
Proceedings of the 2019 24th OptoElectronics and Communications Conference (OECC) and 2019 International Conference on Photonics in Switching and Computing (PSC), 2019

Flexible Resource Allocation using Photonic Switched Interconnects for Disaggregated System Architectures.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2019

Dual-Microring Resonator Based 8×8 Silicon Photonic Switch.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2019

Fabric-Wide, Penalty-Optimized Path Routing Algorithms for Integrated Optical Switches.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2019

2018
Power Excursion Mitigation for Flexgrid Defragmentation With Machine Learning.
JOCN, 2018

Autonomous Network and IT Resource Management for Geographically Distributed Data Centers.
JOCN, 2018

Architectural Opportunities and Challenges from Emerging Photonics in Future Systems.
Proceedings of the Photonics in Switching and Computing, 2018

Single-wire DAC/ADC Control and Feedback of Silicon Photonic Ring Resonator Circuits for Wavelength Switching.
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

Autonomous Dynamic Bandwidth Steering with Silicon Photonic-Based Wavelength and Spatial Switching for Datacom Networks.
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

Wafer-scale High-Density Edge Coupling for High Throughput Testing of Silicon Photonics.
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

Automated Calibration of Balanced Control to Optimize Performance of Silicon Photonic Switch Fabrics.
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

Reconfigurable Silicon Photonic Platform for Memory Scalability and Disaggregation.
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

High Throughput Bandwidth Characterization of Silicon Photonic Modulators using Offset Frequency Combs.
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

Optically connected and reconfigurable GPU architecture for optimized peer-to-peer access.
Proceedings of the International Symposium on Memory Systems, 2018

Empowering Flexible and Scalable High Performance Architectures with Embedded Photonics.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium, 2018

Low-Power Optical Interconnects based on Resonant Silicon Photonic Devices: Recent Advances and Challenges.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

Accelerating of High Performance Data Centers Using Silicon Photonic Switch-Enabled Bandwidth Steering.
Proceedings of the European Conference on Optical Communication, 2018

Topology Agnostic Solution for Tapless Calibration of Silicon Photonic Mach-Zehnder Based Switches.
Proceedings of the European Conference on Optical Communication, 2018

Si/SiN Microring-Based Optical Router in Switch-and-Select Topology.
Proceedings of the European Conference on Optical Communication, 2018

2017
Optical interconnects for extreme scale computing systems.
Parallel Comput., 2017

Software-Defined Silicon-Photonics-Based Metro Node for Spatial and Wavelength Superchannel Switching.
JOCN, 2017

Flexible Network Architecture and Provisioning Strategy for Geographically Distributed Metro Data Centers.
JOCN, 2017

Design Space Exploration of the Dragonfly Topology.
Proceedings of the High Performance Computing, 2017

Reconfigurable Silicon Photonic Interconnect for Many-Core Architecture.
Proceedings of the High Performance Computing, 2017

Self-adaptive, multi-rate optical network for geographically distributed metro data centers.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2017

Transparent software-defined exchange (tSDX) with real-time OSNR-based impairment-aware wavelength path provisioning across multi-domain optical networks.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2017

Dynamic power pre-adjustments with machine learning that mitigate EDFA excursions during defragmentation.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2017

Optical circuit switching/multicasting of burst mode PAM-4 using a programmable silicon photonic chip.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2017

Software-Defined Networking Control Plane for Seamless Integration of Silicon Photonics in Datacom Networks.
Proceedings of the European Conference on Optical Communication, 2017

Quality of Transmission Prediction with Machine Learning for Dynamic Operation of Optical WDM Networks.
Proceedings of the European Conference on Optical Communication, 2017

Joint Allocation of IT and Connectivity Resources for Survivable Services in Geographically Distributed Metro Data Centers.
Proceedings of the European Conference on Optical Communication, 2017

Automated Calibration and Characterization for Scalable Integrated Optical Switch Fabrics without Built-in Power Monitors.
Proceedings of the European Conference on Optical Communication, 2017

Automated Thermal Stabilization of Cascaded Silicon Photonic Ring Resonators for Reconfigurable WDM Applications.
Proceedings of the European Conference on Optical Communication, 2017

Smart Routing Tables for Integrated Photonic Switch Fabrics.
Proceedings of the European Conference on Optical Communication, 2017

Energy-performance optimized design of silicon photonic interconnection networks for high-performance computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
Software-Defined Silicon Photonics based Metro Node for Flexible Superchannel Switching.
CoRR, 2016

Flexfly: enabling a reconfigurable dragonfly through silicon photonics.
Proceedings of the International Conference for High Performance Computing, 2016

Reconfigurable optical dragonfly architecture for high performance computing.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2016

End-to-End Modeling and Optimization of Power Consumption in HPC Interconnects.
Proceedings of the 45th International Conference on Parallel Processing Workshops, 2016

PhoenixSim: Crosslayer Design and Modeling of Silicon Photonic Interconnects.
Proceedings of the 1st International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems, 2016

2015
Excursion-Free Dynamic Wavelength Switching in Amplified Optical Networks.
JOCN, 2015

Design Methodology for Optimizing Optical Interconnection Networks in High Performance Systems.
Proceedings of the High Performance Computing - 30th International Conference, 2015

Virtual machine migration over optical circuit switching network in a converged inter/intra data center architecture.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2015

Programmable wavelength locking and routing in a silicon-photonic interconnection network implementation.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2015

Experimental demonstration of converged inter/intra data center network architecture.
Proceedings of the 17th International Conference on Transparent Optical Networks, 2015

Boosting multi-socket cache-coherency with low-latency silicon photonic interconnects.
Proceedings of the International Conference on Computing, Networking and Communications, 2015

Experimental demonstration of one-to-many virtual machine migration by reliable optical multicast.
Proceedings of the European Conference on Optical Communication, 2015

Toward transparent optical networking in exascale computers.
Proceedings of the European Conference on Optical Communication, 2015

2014
Real-Time Power Control for Dynamic Optical Networks - Algorithms and Experimentation.
IEEE J. Sel. Areas Commun., 2014

Accelerating incast and multicast traffic delivery for data-intensive applications using physical layer optics.
Proceedings of the ACM SIGCOMM 2014 Conference, 2014

Accelerating cast traffic delivery in data centers leveraging physical layer optics and SDN.
Proceedings of the 18th International Conference on Optical Network Design and Modeling, 2014

A reconfigurable and redundant optically-connected memory system using a silicon photonic switch.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2014

Wavelength locking of a WDM silicon microring demultiplexer using dithering signals.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2014

Ultra-compact 320 Gb/s and 160 Gb/s WDM transmitters based on silicon microrings.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2014

A fully-integrated in-band OSNR Monitor using a wavelength-tunable silicon microring resonator and photodiode.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2014

10-Gb/s BPSK link using silicon microring resonators for modulation and demodulation.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2014

First demonstration of polarization-multiplexing combined with on-chip mode-division-multiplexing.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2014

Enabling autonomic provisioning in SDN cloud networks with NFV service chaining.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2014

Silicon photonics for exascale systems.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2014

Experimental demonstration of robustness and accuracy of an MZI-based OSNR monitor under transmitter drift and reconfigurable networking conditions for pol-muxed 25-Gbaud QPSK and 16-QAM channels.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2014

Scalability of silicon photonic microring based switch.
Proceedings of the 16th International Conference on Transparent Optical Networks, 2014

Reuse Distance Based Circuit Replacement in Silicon Photonic Interconnection Networks for HPC.
Proceedings of the 22nd IEEE Annual Symposium on High-Performance Interconnects, 2014

Modeling and Evaluation of Chip-to-Chip Scale Silicon Photonic Networks.
Proceedings of the 22nd IEEE Annual Symposium on High-Performance Interconnects, 2014

Software-addressable optical accelerators for data-intensive applications in cluster-computing platforms.
Proceedings of the European Conference on Optical Communication, 2014

Photonic Network-on-Chip Design.
Integrated Circuits and Systems, Springer, ISBN: 978-1-4419-9334-2, 2014

2013
Cost Feasibility Analysis of Translucent Optical Networks With Shared Wavelength Converters.
JOCN, 2013

Scaling Star-Coupler-Based Optical Networks for Avionics Applications.
JOCN, 2013

Impairment-Aware Optical Networking Using Cross-Layer Communication.
JOCN, 2013

Introduction to the OFC/NFOEC 2013 Special Issue.
JOCN, 2013

Constraint Routing and Regenerator Site Concentration in ROADM Networks.
JOCN, 2013

Metaheuristic hybridizations for the regenerator placement and dimensioning problem in sub-wavelength switching optical networks.
Eur. J. Oper. Res., 2013

Rethinking the physical layer of data center networks of the next decade: using optics to enable efficient *-cast connectivity.
Comput. Commun. Rev., 2013

A synthetic task model for HPC-grade optical network performance evaluation.
Proceedings of the 3rd Workshop on Irregular Applications - Architectures and Algorithms, 2013

Efficient and agile optical networks.
Proceedings of the 17th International Conference on Optical Networking Design and Modeling, 2013

Pattern-dependent performance of microring modulators.
Proceedings of the 2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), 2013

Integrated thermal stabilization of a microring modulator.
Proceedings of the 2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), 2013

Measurements-based power control - A cross-layered framework.
Proceedings of the 2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), 2013

P-sync: A Photonically Enabled Architecture for Efficient Non-local Data Access.
Proceedings of the 27th IEEE International Symposium on Parallel and Distributed Processing, 2013

Cost optimization using regenerator site concentration and routing in ROADM networks.
Proceedings of the 9th International Conference on the Design of Reliable Communication Networks, 2013

2012
Cross-Layer Proactive Packet Protection Switching.
JOCN, 2012

Photonic Interconnection Network Architectures Using Wavelength-Selective Spatial Routing for Chip-Scale Communications.
JOCN, 2012

Resilient Optically Connected Memory Systems Using Dynamic Bit-Steering [Invited].
JOCN, 2012

Introduction to the OFC/NFOEC 2012 Special Issue.
JOCN, 2012

Improvements to the structural simulation toolkit.
Proceedings of the International ICST Conference on Simulation Tools and Techniques, 2012

Cross-layer enabled translucent optical network with real-time impairment awareness.
Proceedings of the 2012 IEEE Global Communications Conference, 2012

2011
Physical-Layer Modeling and System-Level Design of Chip-Scale Photonic Interconnection Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Time-division-multiplexed arbitration in silicon nanophotonic networks-on-chip for high-performance chip multiprocessors.
J. Parallel Distributed Comput., 2011

Building Data Centers With Optically Connected Memory.
JOCN, 2011

Photonic network-on-chip architectures using multilayer deposited silicon materials for high-performance chip multiprocessors.
ACM J. Emerg. Technol. Comput. Syst., 2011

Let there be light!: the future of memory systems is photonics and 3D stacking.
Proceedings of the 2011 ACM SIGPLAN workshop on Memory Systems Performance and Correctness: held in conjunction with PLDI '11, 2011

Load-Aware Anycast Routing in IP-over-WDM Networks.
Proceedings of IEEE International Conference on Communications, 2011

VANDAL: A tool for the design specification of nanophotonic networks.
Proceedings of the Design, Automation and Test in Europe, 2011

Burst-Mode Transmission and Data Recovery for Multi-GHz Optical Packet Switching Network Testing.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
Circuit-Switched Memory Access in Photonic Interconnection Networks for High-Performance Embedded Computing.
Proceedings of the Conference on High Performance Computing Networking, 2010

Photonic Chip-Scale Interconnection Networks for Performance-Energy Optimized Computing.
Proceedings of the NOCS 2010, 2010

Tools and methodologies for designing energy-efficient photonic networks-on-chip for highperformance chip multiprocessors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Silicon Nanophotonic Network-on-Chip Using TDM Arbitration.
Proceedings of the IEEE 18th Annual Symposium on High Performance Interconnects, 2010

Hybrid on-chip data networks.
Proceedings of the 2010 IEEE Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010, 2010

PhoenixSim: A simulator for physical-layer analysis of chip-scale photonic interconnection networks.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
Photonic NoCs: System-Level Design Exploration.
IEEE Micro, 2009

Guest Editors' Introduction: Hot Interconnects.
IEEE Micro, 2009

Inaugural Issue Editors' Introduction.
JOCN, 2009

Analysis of photonic networks for a chip multiprocessor using scientific applications.
Proceedings of the Third International Symposium on Networks-on-Chips, 2009

Networking hardware: what drives innovation?
Proceedings of the 2009 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2009

2008
Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors.
IEEE Trans. Computers, 2008

Photonic networks-on-chip: Opportunities and challenges.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Design Exploration of Optical Interconnection Networks for Chip Multiprocessors.
Proceedings of the 16th Annual IEEE Symposium on High Performance Interconnects (HOTI 2008), 2008

2007
The Data Vortex, an All Optical Path Multicomputer Interconnection Network.
IEEE Trans. Parallel Distributed Syst., 2007

Building Ultralow-Latency Interconnection Networks Using Photonic Integration.
IEEE Micro, 2007

Optical Packet Buffers with Active Queue Management.
Proceedings of the Optical Network Design and Modeling, 2007

On the Design of a Photonic Network-on-Chip.
Proceedings of the First International Symposium on Networks-on-Chips, 2007

Co-development of test electronics and PCI Express interface for a multi-Gbps optical switching network.
Proceedings of the 2007 IEEE International Test Conference, 2007

Photonic NoC for DMA Communications in Chip Multiprocessors.
Proceedings of the 15th Annual IEEE Symposium on High-Performance Interconnects, 2007

The Case for Low-Power Photonic Networks on Chip.
Proceedings of the 44th Design Automation Conference, 2007

2005
A Scalable, Self-Routed, Terabit Capacity, Photonic Interconnection Network.
Proceedings of the 13th Annual IEEE Symposium on High Performance Interconnects (HOTIC 2005), 2005

2003
Application and Demonstration of a Digital Test Core: Optoelectronic Test Bed and Wafer-level Prober.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

1996
Transparent Optical Networks with Time-Division Multiplexing (Invited Paper).
IEEE J. Sel. Areas Commun., 1996

1994
Quantum noise reduction with pulsed light in optical fibers.
PhD thesis, 1994


  Loading...