Prathima Agrawal

According to our database1, Prathima Agrawal authored at least 173 papers between 1972 and 2017.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 1989, "For contributions to computer-aided design and testing of integrated circuits.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2017
Power-Aware Optimization of SoC Test Schedules Using Voltage and Frequency Scaling.
J. Electron. Test., 2017

2016
Performance evaluation of collision avoidance schemes in ad hoc networks.
Secur. Commun. Networks, 2016

2015
Approximation Algorithms for Cell Association and Scheduling in Femtocell Networks.
IEEE Trans. Emerg. Top. Comput., 2015

On relay selection and power allocation in cooperative free-space optical networks.
Photonic Netw. Commun., 2015

Optical power allocation for adaptive transmissions in wavelength-division multiplexing free space optical networks.
Digit. Commun. Networks, 2015

Mobility improves LMI-based cooperative indoor localization.
Proceedings of the 2015 IEEE Wireless Communications and Networking Conference, 2015

2014
Analysis of TCP Performance in Data Center Networks
Springer Briefs in Electrical and Computer Engineering, Springer, ISBN: 978-1-4614-7861-4, 2014

Optical power allocation for adaptive WDM transmissions in free space optical networks.
Proceedings of the IEEE Wireless Communications and Networking Conference, 2014

CA<sup>2</sup>T: Cooperative Antenna Arrays Technique for Pinpoint Indoor Localization.
Proceedings of the 9th International Conference on Future Networks and Communications (FNC'14) / The 11th International Conference on Mobile Systems and Pervasive Computing (MobiSPC'14) / Affiliated Workshops, 2014

2013
On Cell Association and Scheduling Policies in Femtocell Networks.
CoRR, 2013

On the trade-off between energy efficiency and estimation error in compressive sensing.
Ad Hoc Networks, 2013

Cell association and handover management in femtocell networks.
Proceedings of the 2013 IEEE Wireless Communications and Networking Conference (WCNC), 2013

Optimum Test Schedule for SoC with Specified Clock Frequencies and Supply Voltages.
Proceedings of the 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, 2013

Power-aware SoC test optimization through dynamic voltage and frequency scaling.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

Algebraic connectivity of degree constrained spanning trees for FSO networks.
Proceedings of IEEE International Conference on Communications, 2013

Joint relay selection and power allocation in cooperative FSO networks.
Proceedings of the 2013 IEEE Global Communications Conference, 2013

Performance comparison of routing protocols over smart utility networks: A simulation study.
Proceedings of the Workshops Proceedings of the Global Communications Conference, 2013

2012
Capacity bounds in random wireless networks.
J. Commun. Networks, 2012

Optimal power-constrained SoC test schedules with customizable clock rates.
Proceedings of the IEEE 25th International SOC Conference, 2012

A cluster-based hybrid access protocol for wireless sensor networks.
Proceedings of the 35th IEEE Sarnoff Symposium 2012, Newark, NJ, USA, May 21-22, 2012, 2012

Reliable link lifetime-based cluster-head election in wireless ad hoc networks.
Proceedings of the Thirteenth ACM International Symposium on Mobile Ad Hoc Networking and Computing, 2012

Statistics of aggregate interference in cognitive wireless ad hoc networks.
Proceedings of the International Conference on Computing, Networking and Communications, 2012

2011
Cooperative Spectrum Sharing for a Primary Network with Capacity Constraint.
Proceedings of the 73rd IEEE Vehicular Technology Conference, 2011

A Trusted Integrity Measurement Architecture for Securing Enterprise Network.
Proceedings of the IEEE 10th International Conference on Trust, 2011

Performance analysis of wireless mesh routing protocols for smart utility networks.
Proceedings of the IEEE Second International Conference on Smart Grid Communications, 2011

Interference statistics of a poisson field of interferers with random puncturing.
Proceedings of the MILCOM 2011, 2011

A Probabilistic Approach to Address TCP Incast in Data Center Networks.
Proceedings of the 31st IEEE International Conference on Distributed Computing Systems Workshops (ICDCS 2011 Workshops), 2011

Enforcing Cooperative Spectrum Sensing in Cognitive Radio Networks.
Proceedings of the Global Communications Conference, 2011

2010
ACAR: Adaptive Connectivity Aware Routing for Vehicular Ad Hoc Networks in City Scenarios.
Mob. Networks Appl., 2010

Effect of Mobility Prediction on Resource Utilization in Wireless Networks.
Proceedings of the 2010 IEEE Wireless Communications and Networking Conference, 2010

Prioritized Resource Sharing in WiMax and WiFi Integrated Networks.
Proceedings of the 2010 IEEE Wireless Communications and Networking Conference, 2010

Analysis of Certificate Revocation List Distribution Protocols for Vehicular Networks.
Proceedings of the Global Communications Conference, 2010

A generic framework for mobility prediction and resource utilization in wireless networks.
Proceedings of the Second International Conference on Communication Systems and Networks, 2010

Movement Prediction in Wireless Networks Using Mobility Traces.
Proceedings of the 7th IEEE Consumer Communications and Networking Conference, 2010

2009
Fast Intra-Network and Cross-Layer Handover (FINCH) for WiMAX and Mobile Internet.
IEEE Trans. Mob. Comput., 2009

On Performance of Node Placement Approaches for Hierarchical Heterogeneous Sensor Networks.
Mob. Networks Appl., 2009

Effect of Dynamic Spectrum Access on Transport Control Protocol Performance.
Proceedings of the Global Communications Conference, 2009. GLOBECOM 2009, Honolulu, Hawaii, USA, 30 November, 2009

A prioritized MAC game framework for event reporting in sensor networks.
Proceedings of the 1st International Conference on Game Theory for Networks, 2009

Effects of Mobility in Hierarchical Mobile Ad Hoc Networks.
Proceedings of the 6th IEEE Consumer Communications and Networking Conference, 2009

2008
IP multimedia subsystems in 3GPP and 3GPP2: overview and scalability issues.
IEEE Commun. Mag., 2008

Performance enhancement of mobile ad hoc networks using nodal cooperation.
Proceedings of the 4th Annual International Conference on Wireless Internet, 2008

A graph based routing algorithm for multi-hop cognitive radio networks.
Proceedings of the 4th Annual International Conference on Wireless Internet, 2008

Connectivity Aware Routing in Vehicular Networks.
Proceedings of the WCNC 2008, IEEE Wireless Communications & Networking Conference, March 31 2008, 2008

ACAR: Adaptive Connectivity Aware Routing Protocol for Vehicular Ad Hoc Networks.
Proceedings of the 17th International Conference on Computer Communications and Networks, 2008

Synchronized MAC Protocol For Multi-Hop Cognitive Radio Networks.
Proceedings of IEEE International Conference on Communications, 2008

Localization Error Evaluation in Heterogeneous Sensor Networks.
Proceedings of the Global Communications Conference, 2008. GLOBECOM 2008, New Orleans, LA, USA, 30 November, 2008

Efficient data gathering in distributed hybrid sensor networks using multiple mobile agents.
Proceedings of the Third International Conference on COMmunication System softWAre and MiddlewaRE (COMSWARE 2008), 2008

Low-complexity Channel-Aware Scheduling for multichannel wireless local area networks.
Proceedings of the 2008 IEEE International Conference on Automation Science and Engineering, 2008

2007
TRaVarSeL-Transmission Range Variation based Secure Localization.
Proceedings of the Secure Localization and Time Synchronization for Wireless Sensor and Ad Hoc Networks, 2007

A Hybrid Approach to Optimize Node Placements in Hierarchical Heterogeneous Networks.
Proceedings of the IEEE Wireless Communications and Networking Conference, 2007

Reinforcement Learning Based Geographic Routing Protocol for UWB Wireless Sensor Network.
Proceedings of the Global Communications Conference, 2007

A scheduling algorithm for IEEE 802.16 and IEEE 802.11 hybrid networks.
Proceedings of the Fourth International Conference on Broadband Communications, 2007

Design and analysis of a dual radio node architecture and medium access control protocols for Ultra Wide Band based sensor networks.
Proceedings of the Fourth International Conference on Broadband Communications, 2007

Data gathering in ultra wide band based wireless sensor networks using a mobile node.
Proceedings of the Fourth International Conference on Broadband Communications, 2007

2006
Scaling the mobile internet.
IEEE Commun. Mag., 2006

Dynamic Indoor Localization Using Wireless Ethernet: The ARIADNE System.
Proceedings of the Wired/Wireless Internet Communications, 4th International Conference, 2006

A low-cost robust localization scheme for WLAN.
Proceedings of the 2nd International ICST Conference on Wireless Internet, 2006

Interference Study of 802.11b Networks for Proactive Performance Management.
Proceedings of the Management of Integrated End-to-End Communications and Services, 2006

ARIADNE: a dynamic indoor signal map construction and localization system.
Proceedings of the 4th International Conference on Mobile Systems, 2006

A Unifying Architecture for Maximal Connectivity in Heterogeneous Ad Hoc Networks.
Proceedings of the Global Telecommunications Conference, 2006. GLOBECOM '06, San Francisco, CA, USA, 27 November, 2006

2005
Client assisted location data acquisition scheme for secure enterprise wireless networks.
Proceedings of the IEEE Wireless Communications and Networking Conference, 2005

Towards the performance analysis of IEEE 802.11 in multi-hop ad-hoc networks.
Proceedings of the IEEE Wireless Communications and Networking Conference, 2005

Secure localization in sensor networks using transmission range variation.
Proceedings of the IEEE 2nd International Conference on Mobile Adhoc and Sensor Systems, 2005

Impact of sniffer deployment on indoor localization.
Proceedings of the 1st International Conference on Collaborative Computing: Networking, 2005

2004
Mobility and session management: UMTS vs. cdma2000.
IEEE Wirel. Commun., 2004

Serving radio network controller relocation for UMTS all-IP network.
IEEE J. Sel. Areas Commun., 2004

Realizing mobile wireless Internet telephony and streaming multimedia testbed.
Comput. Commun., 2004

Guest Editorial: Multimedia multicast/broadcast services in 3G/4G networks.
IEEE Commun. Mag., 2004

Voice capacity in IEEE 802.11 networks.
Proceedings of the IEEE 15th International Symposium on Personal, 2004

A New Approach for Serving Radio Network Controller Relocation in UMTS All-IP Network.
Proceedings of the Proceedings IEEE INFOCOM 2004, 2004

2003
Wireless access to the World Wide Web in an integrated CDMA system.
IEEE Trans. Wirel. Commun., 2003

Voice performance in WLAN networks - an experimental study.
Proceedings of the Global Telecommunications Conference, 2003

2002
Mobile and wireless internet: architectures and protocols.
IEEE Wirel. Commun., 2002

Implementing Automatic Location Update for Follow-Me Database Using VoIP and Bluetooth Technologies.
IEEE Trans. Computers, 2002

2001
A Survey of Energy Efficient Network Protocols for Wireless Networks.
Wirel. Networks, 2001

Application-centric analysis of IP-based mobility management techniques.
Wirel. Commun. Mob. Comput., 2001

IP-based base stations and soft handoff in all-IP wireless networks.
IEEE Wirel. Commun., 2001

Local predictive resource reservation for handoff in multimedia wireless IP networks.
IEEE J. Sel. Areas Commun., 2001

Autonomous Predictive Resource Reservation for Handoff in Multimedia Wireless Networks.
Proceedings of the Sixth IEEE Symposium on Computers and Communications (ISCC 2001), 2001

Time series-based localized predictive resource reservation for handoff in multimedia wireless networks.
Proceedings of the IEEE International Conference on Communications, 2001

2000
Design and analysis of low-power access protocols for wireless and mobile ATM networks.
Wirel. Networks, 2000

TeleMIP: telecommunications-enhanced mobile IP architecture for fast intradomain mobility.
IEEE Wirel. Commun., 2000

Delay Reduction Techniques for Playout Buffering.
IEEE Trans. Multim., 2000

Challenges for Mobile Voice-over-IP.
Proceedings of the Mobile and Wireless Communication Networks, 2000

Admission and Flow Control for Multimedia CDMA.
Proceedings of the 2000 IEEE International Conference on Multimedia and Expo, 2000

Active Techniques for Real-Time Video Transmission and Playback.
Proceedings of the 2000 IEEE International Conference on Communications: Global Convergence Through Communications, 2000

Fast Link Layer and Intra-Domain Handoffs for Mobil Internet.
Proceedings of the 24th International Computer Software and Applications Conference (COMPSAC 2000), 2000

1999
Performance comparison of battery power consumption in wireless multiple access protocols.
Wirel. Networks, 1999

Scheduling Multimedia Services in a Low-Power MAC for Wireless and Mobile ATM Networks.
IEEE Trans. Multim., 1999

Dynamic resource allocation schemes during handoff for mobile multimedia wireless networks.
IEEE J. Sel. Areas Commun., 1999

Resource Allocation during Handoff through Dynamic Schemes for Mobile Multimedia Wireless Networks.
Proceedings of the Proceedings IEEE INFOCOM '99, 1999

Mobile computing in next generation wireless networks.
Proceedings of the 3rd International Workshop on Discrete Algorithms and Methods for Mobile Computing and Communications (DIAL-M 1999), 1999

1998
A rated-clock test method for path delay faults.
IEEE Trans. Very Large Scale Integr. Syst., 1998

Concurrent fault simulation on message passing multicomputers.
IEEE Trans. Very Large Scale Integr. Syst., 1998

Deriving Logic Systems for Path Delay Test Generation.
IEEE Trans. Computers, 1998

Ethersim: a simulator for application-level performance modeling of wireless and mobile ATM networks.
Comput. Networks ISDN Syst., 1998

On scheduling of multimedia services in a low-power MAC for wireless ATM networks.
Proceedings of the 9th IEEE International Symposium on Personal, 1998

Battery power sensitive video processing in wireless networks.
Proceedings of the 9th IEEE International Symposium on Personal, 1998

Energy efficient protocols for wireless systems.
Proceedings of the 9th IEEE International Symposium on Personal, 1998

Adapting Packet Fair Queueing Algorithms to Wireless Networks.
Proceedings of the MOBICOM '98, 1998

D<sup>2</sup>PAMN: Distributed Dynamic Power and Error Control Algorithm for Mobile Networks.
Proceedings of the MASCOTS 1998, 1998

A Comparison of MAC Protocols for Wireless Local Networks BAsed on Battery Power Consumption.
Proceedings of the Proceedings IEEE INFOCOM '98, The Conference on Computer Communications, Seventeenth Annual Joint Conference of the IEEE Computer and Communications Societies, Gateway to the 21st Century, San Francisco, CA, USA, March 29, 1998

Use of Statistical Methods to Reduce Delays for Media Playback Buffering.
Proceedings of the IEEE International Conference on Multimedia Computing and Systems, 1998

1997
Evaluation of an Adaptive Power and Error Control Algorithm for Wireless Systems.
Proceedings of the 1997 IEEE International Conference on Communications: Towards the Knowledge Millennium, 1997

Mobile-TCP: An Asymmetric Transport Protocol Design for Mobile Systems.
Proceedings of the 1997 IEEE International Conference on Communications: Towards the Knowledge Millennium, 1997

Cellular Mobile Networks.
Proceedings of the 6th International Symposium on High Performance Distributed Computing, 1997

1996
SWAN: a mobile multimedia wireless network.
IEEE Wirel. Commun., 1996

Hardware-software architecture of the SWAN Wireless ATM network.
J. VLSI Signal Process., 1996

Channel management policies for handovers in cellular networks.
Bell Labs Tech. J., 1996

Multi-way partitioning of VLSI circuits.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

Network Architecture for Mobile and Wireless ATM.
Proceedings of the 16th International Conference on Distributed Computing Systems, 1996

1995
Test Generation for Path Delay Faults Using Binary Decision Diagrams.
IEEE Trans. Computers, 1995

Programming pipelined CAD applications on message-passing architectures.
Concurr. Pract. Exp., 1995

Parallel test generation with low communication overhead.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

An asynchronous algorithm for sequential circuit test generation on a network of workstations.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

Adaptive coding for packetized data in wireless networks.
Proceedings of the 6th IEEE International Symposium on Personal, 1995

Congestion or corruption? A strategy for efficient wireless TCP sessions.
Proceedings of the Proceedings IEEE Symposium on Computers and Communications, 1995

The Concord Algorithm for Synchronization of Networked Multimedia Streams.
Proceedings of the IEEE International Conference on Multimedia Computing and Systems, 1995

An adaptive distributed algorithm for sequential circuit test generation.
Proceedings of the Proceedings EURO-DAC'95, 1995

1994
Computing Network Flow on a Multiple Processor Pipeline.
IEEE Trans. Parallel Distributed Syst., 1994

Parallel Model Evaluation for Circuit Simulation on the PACE Multiprocessor.
Proceedings of the Seventh International Conference on VLSI Design, 1994

Protocol synchronization with sparse timestraps.
Proceedings of the Protocol Specification, 1994

Optimal prioritization of handovers in mobile cellular networks.
Proceedings of the 5th IEEE International Symposium on Personal, 1994

Emerging Techologies for Electronic Design and Test.
Proceedings of the Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1994

1993
Path delay fault simulation of sequential circuits.
IEEE Trans. Very Large Scale Integr. Syst., 1993

On the circuit implementation problem.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

The optimistic update theorem for path delay testing in sequential circuits.
J. Electron. Test., 1993

Generating Tests for Delay Faults in Nonscan Circuits.
IEEE Des. Test Comput., 1993

Architecture of a Min-Max Simulator on MARS.
Proceedings of the Sixth International Conference on VLSI Design, 1993

A Path Delay Fault Simulator for Sequential Circuits.
Proceedings of the Sixth International Conference on VLSI Design, 1993

PACE: A Multiprocessor System for VLSI Circuit Simulation.
Proceedings of the Sixth SIAM Conference on Parallel Processing for Scientific Computing, 1993

Generation of Compact Delay Tests by Multiple-Path Activation.
Proceedings of the Proceedings IEEE International Test Conference 1993, Designing, Testing, and Diagnostics, 1993

Boolean algebraic test generation using a distributed system.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

Test Pattern Generation for Sequential Circuits on a Network of Workstations.
Proceedings of the Second International Symposium on High Performance Distributed Computing, 1993

Delay fault testability evaluation through timing simulation.
Proceedings of the Third Great Lakes Symposium on Design Automation of High Performance VLSI Systems, 1993

Logic systems for path delay test generation.
Proceedings of the European Design Automation Conference 1993, 1993

Sequential Circuit Test Generation on a Distributed System.
Proceedings of the 30th Design Automation Conference. Dallas, 1993

1992
A New Method for Generating Tests for Delay Faults in Non-Scan Circuits.
Proceedings of the Fifth International Conference on VLSI Design, 1992

DynaTAPP: dynamic timing analysis with partial path activation in sequential circuits.
Proceedings of the conference on European design automation, 1992

Concurrent Fault Simulation of Logic Gates and Memory Blocks on Message Passing Multicomputers.
Proceedings of the 29th Design Automation Conference, 1992

Delay Fault Test Generation for Scan/Hold Circuits Using Boolean Expressions.
Proceedings of the 29th Design Automation Conference, 1992

1991
Stafan Algorithms for MOS Circuits.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

A Multiprocessor Architecture for Circuit Simulation.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

A New O(n log n) Scheduling Heuristic for Parallel Decomposition of Sparce Matrices.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

Partitioning schemes for circuit simulation on a multiprocessor array.
Proceedings of the Application Specific Array Processors, 1991

1990
Automatic modeling of switch-level networks using partial orders [MOS circuits].
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

A hardware logic simulation system.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

High-level microprogramming: an optimizing C compiler for a processing element of a CAD accelerator.
Proceedings of the 23rd Annual Workshop and Symposium on Microprogramming and Microarchitecture, 1990

Fast Overlapped Scattered Array Storage Schemes for Sparse Matrices.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

Circuit Simulation Algorithms on a Distributed Memory Multiprocessor System.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

1989
A directed search method for test generation using a concurrent simulator.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989

Fault Simulation in a Pipelined Multiprocessor System.
Proceedings of the Proceedings International Test Conference 1989, 1989

Connected speech recognition on a multiple processor pipeline.
Proceedings of the IEEE International Conference on Acoustics, 1989

Algorithms for Accuracy Enhancement in a Hardware Logic Simulator.
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989

1988
Fault Tolerance in Multiprocessor Systems without Dedicated Redundancy.
IEEE Trans. Computers, 1988

Automatic modeling of switch-level networks using partial orders.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988

Contest: A Concurrent Test Generator for Sequential Circuits.
Proceedings of the 25th ACM/IEEE Conference on Design Automation, 1988

1987
MARS: A Multiprocessor-Based Programmable Accelerator.
IEEE Des. Test, 1987

Architecture and Design of the MARS Hardware Accelerator.
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987

1986
Concurrency and Communication in Hardware Simulators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1986

Software Implementation of a Recursive Fault Tolerance Algorithm on a Network of Computers.
Proceedings of the 13th Annual Symposium on Computer Architecture, Tokyo, Japan, June 1986, 1986

1985
RAFT: A Recursive Algorithm for Fault Tolerance.
Proceedings of the International Conference on Parallel Processing, 1985

Transistor level test generation for MOS circuits.
Proceedings of the 22nd ACM/IEEE conference on Design automation, 1985

Workstations (panel discussion): a complete solution to the VLSI designer?
Proceedings of the 22nd ACM/IEEE conference on Design automation, 1985

Multiple output minimization.
Proceedings of the 22nd ACM/IEEE conference on Design automation, 1985

1984
A VLSI FSM design system.
Proceedings of the 21st Design Automation Conference, 1984

1981
LSI product quality and fault coverage.
Proceedings of the 18th Design Automation Conference, 1981

1980
A probabilistic model for the analysis of the routing process for circuits.
Networks, 1980

1979
Experiments with a Density Router for PC Cards.
IEEE Trans. Computers, 1979

1977
Some theoretical aspects of algorithmic routing.
Proceedings of the 14th Design Automation Conference, 1977

1976
On Monte Carlo Testing of Logic Tree Networks.
IEEE Trans. Computers, 1976

1975
Probabilistic Analysis of Random Test Generation Method for Irredundant Combinational Logic Networks.
IEEE Trans. Computers, 1975

1972
An Automatic Test Generation System for Illiac IV Logic Boards.
IEEE Trans. Computers, 1972


  Loading...