Michael L. Bushnell

According to our database1, Michael L. Bushnell authored at least 93 papers between 1984 and 2009.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Awards

IEEE Fellow

IEEE Fellow 2008, "For contributions to testing methods for digital and mixed-signal VLSI circuits".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2009
Variable Input Delay CMOS Logic for Low Power Design.
IEEE Trans. Very Large Scale Integr. Syst., 2009

2008
Fault Nodes in Implication Graph for Equivalence/Dominance Collapsing, and Identifying Untestable and Independent Faults.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

A Jitter Reduction Circuit Using Autocorrelation for Phase-Locked Loops and Serializer-Deserializer (SERDES) Circuits.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

2007
Graphical I<sub>DDQ</sub> Signatures Reduce Defect Level and Yield Loss.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Zero Cost Test Point Insertion Technique for Structured ASICs.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

A Neural Net Branch Predictor to Reduce Power.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Architecture for Variable-Length Combined FFT, DCT, and MWT Transform Hardware for a Multi-ModeWireless System.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Fault Models and Device Yield of a Large Population of Room Temperature Operation Single-Electron Transistors.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Test Pattern Generation Using Modulation by Haar Wavelets and Correlation for Sequential BIST.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Analog Circuit Testing Using Auto Regressive Moving Average Models.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

SPARTAN: a spectral and information theoretic approach to partial-scan.
Proceedings of the 2007 IEEE International Test Conference, 2007

Power Grid Analysis of Dynamic Power Cutoff Technology.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

2006
Transistor Sizing of Logic Gates to Maximize Input Delay Variability.
J. Low Power Electron., 2006

An Area Efficient Mixed-Signal Test Architecture for Systems-on-a-Chip.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Aliasing Analysis of Spectral Statistical Response Compaction Techniques.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Sequential Spectral ATPG Using the Wavelet Transform and Compaction.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Analog Macromodeling for Combined Resistive Vias, Resistive Bridges, and Capacitive Crosstalk Delay Faults.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Automatic Path-Delay Fault Test Generation for Combined Resistive Vias, Resistive Bridges, and Capacitive Crosstalk Delay Faults.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

A novel dynamic power cutoff technique (DPCT) for active leakage reduction in deep submicron CMOS circuits.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

Zero Cost Test Point Insertion Technique to Reduce Test Set Size and Test Generation Time for Structured ASICs.
Proceedings of the 15th Asian Test Symposium, 2006

2005
Using Contrapositive Law in an Implication Graph to Identify Logic Redundancies.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Design of Variable Input Delay Gates for Low Dynamic Power Circuits.
Proceedings of the Integrated Circuit and System Design, 2005

2004
A New Classification of Path-Delay Fault Testability in Terms of Stuck-at Faults.
J. Comput. Sci. Technol., 2004

CMOS Circuit Design for Minimum Dynamic Power and Highest Speed.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

A Tuturial on the Emerging Nanotechnology Devices.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

On Random Pattern Generation with the Selfish Gene Algorithm for Testing Digital Sequential Circuits.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

Spectral Analysis for Statistical Response Compaction During Built-In Self-Testing.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

2003
New Graphical IDDQ Signatures Reduce Defect Level and Yield Loss.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

A Fault-Independent Transitive Closure Algorithm for Redundancy Identification.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

2002
Electronic Testing for SOC Designers (Tutorial Abstract).
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Analog Macromodeling of Capacitive Coupling Faults in Digital Circuit Interconnects.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

A New Transitive Closure Algorithm with Application to Redundancy Identification.
Proceedings of the 1st IEEE International Workshop on Electronic Design, 2002

2001
A Code Transition Delay Model for ADC Test.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

2000
Improving path delay testability of sequential circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2000

Path delay fault simulation of sequential circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2000

False-Path Removal Using Delay Fault Simulation.
J. Electron. Test., 2000

1999
Test Generation for Mixed-Signal Devices Using Signal Flow Graphs.
J. Electron. Test., 1999

Effect of Noise on Analog Circuit Testing.
J. Electron. Test., 1999

A Complete Characterization of Path Delay Faults through Stuck-at Faults.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

Digital Circuit Design for Minimum Transient Energy and a Linear Programming Method.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

Increasing Test Coverage in a VLSI Design Course.
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999

1998
A parallel-vector concurrent-fault simulator and generation of single-input-change tests for path-delay faults.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

The path-status graph with application to delay fault simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Statistical Delay Fault Coverage Estimation for Synchronous Sequential Circuits.
J. Electron. Test., 1998

Towards Simultaneous Delay-Fault Built-In Self-Test and Partial-Scan Insertion.
Proceedings of the 16th IEEE VLSI Test Symposium (VTS '98), 28 April, 1998

On Delay-Untestable Paths and Stuck-Fault Redundancy.
Proceedings of the 16th IEEE VLSI Test Symposium (VTS '98), 28 April, 1998

Path Delay Testing: Variable-Clock Versus Rated-Clock.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

A non-enumerative path delay fault simulator for sequential circuits.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

False-Path Removal Using Delay Fault Simulation.
Proceedings of the 7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore, 1998

1997
Improving a nonenumerative method to estimate path delay fault coverage.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

On variable clock methods for path delay testing of sequential circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

Classification and Test Generation for Path-Delay Faults Using Single Struck-at Fault Tests.
J. Electron. Test., 1997

A Functional Decomposition Method for Redundancy Identification and Test Generation.
J. Electron. Test., 1997

Flags and Algebra for Sequential Circuit VNR Path Delay Fault Test Generation.
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997

1996
Sequential circuit test generation using dynamic justification equivalence.
J. Electron. Test., 1996

Statistical path delay fault coverage estimation for synchronous sequential circuits.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

Parallel concurrent path-delay fault simulation using single-input change patterns.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

An Exact Non-Enumerative Fault Simulator for Path-Delay Faults.
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996

Redundancy Identification Using Transitive Closure.
Proceedings of the 5th Asian Test Symposium (ATS '96), 1996

1995
Fault coverage estimation by test vector sampling.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

Circuit design for low overhead delay-fault BIST using constrained quadratic 0-1 programming .
Proceedings of the 13th IEEE VLSI Test Symposium (VTS'95), April 30, 1995

An asynchronous algorithm for sequential circuit test generation on a network of workstations.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

A graph approach to DFT hardware placement for robust delay fault BIST.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

Statistical methods for delay fault coverage analysis.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

Generation of search state equivalence for automatic test pattern generation.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

Classification and Test Generation for Path-Delay Faults Using Single Stuck-Fault Tests.
Proceedings of the Proceedings IEEE International Test Conference 1995, 1995

An adaptive distributed algorithm for sequential circuit test generation.
Proceedings of the Proceedings EURO-DAC'95, 1995

Functional test generation for path delay faults.
Proceedings of the 4th Asian Test Symposium (ATS '95), 1995

1994
Energy minimization and design for testability.
J. Electron. Test., 1994

FACTS: fault coverage estimation by test vector sampling.
Proceedings of the 12th IEEE VLSI Test Symposium (VTS'94), 1994

Neural models for transistor and mixed-level test generation.
Proceedings of the 12th IEEE VLSI Test Symposium (VTS'94), 1994

Graphical Methodology Language for CAD Frameworks.
Proceedings of the Seventh International Conference on VLSI Design, 1994

Dynamic State and Objective Learning for Sequential Circuit Automatic Test Generation Using Decomposition Equivalence.
Proceedings of the Digest of Papers: FTCS/24, 1994

Distributed Computing, Automatic Design, and Error Recovery in the ULYSSES II Framework.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

An Efficient Path Delay Fault Coverage Estimator.
Proceedings of the 31st Conference on Design Automation, 1994

1993
Design for Testability for Path Delay faults in Sequential Circuits.
Proceedings of the 30th Design Automation Conference. Dallas, 1993

1992
A solvable class of quadratic 0-1 programming.
Discret. Appl. Math., 1992

Delay Fault Models and Test Generation for Random Logic Sequential Circuits.
Proceedings of the 29th Design Automation Conference, 1992

1991
Search State Equivalence for Redundancy Identification and Test Generation.
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991

1990
Toward massively parallel automatic test generation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

Neural Net and Boolean Satisfiability Models of Logic Circuits.
IEEE Des. Test Comput., 1990

Polynomial time solvable fault detection problems.
Proceedings of the 20th International Symposium on Fault-Tolerant Computing, 1990

EST: The New Frontier in Automatic Test-Pattern Generation.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990

Automatic Test Generation Using Quadratic 0-1 Programming.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990

MHERTZ: A New Optimization Algorithm for Floorplanning and Global Routing.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990

1989
Automated design tool execution in the Ulysses design environment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989

1988
Automatic test generation using neural networks.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988

A Module Area Estimator for VLSI Layout.
Proceedings of the 25th ACM/IEEE Conference on Design Automation, 1988

1987
ULYSSES - a knowledge-based VLSI design environment.
Artif. Intell. Eng., 1987

1986
Guest editorial.
Artif. Intell. Eng., 1986

VLSI CAD tool integration using the Ulysses environment.
Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, 1986

1984
DIF: A framework for VLSI multi-level representation.
Integr., 1984


  Loading...