Sharad Sinha

Orcid: 0000-0002-4532-2017

According to our database1, Sharad Sinha authored at least 62 papers between 2011 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
GraFlex: Flexible Graph Processing on FPGAs through Customized Scalable Interconnection Network.
Proceedings of the 2024 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2024

2023
Lossless Neural Network Model Compression Through Exponent Sharing.
IEEE Trans. Very Large Scale Integr. Syst., November, 2023

HL-Pow: Learning-Assisted Pre-RTL Power Modeling and Optimization for FPGA HLS.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

Design and Analysis of RSA and Paillier Homomorphic Cryptosystems Using PSO-Based Evolutionary Computation.
IEEE Trans. Computers, July, 2023

Joint-YODNet: A Light-weight Object Detector for UAVs to Achieve Above 100fps.
CoRR, 2023

AaP-ReID: Improved Attention-Aware Person Re-identification.
CoRR, 2023

YOLORe-IDNet: An Efficient Multi-Camera System for Person-Tracking.
CoRR, 2023

DiffLo: A Graph-based Method for Functional Discrepancy Localization in High-level Synthesis.
Proceedings of the International Conference on Field Programmable Technology, 2023

FADO: Floorplan-Aware Directive Optimization for High-Level Synthesis Designs on Multi-Die FPGAs.
Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2023

Tensor-Product-Based Accelerator for Area-efficient and Scalable Number Theoretic Transform.
Proceedings of the 31st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2023

Cache Side-channel Attacks and Defenses of the Sliding Window Algorithm in TEEs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
Workings of science: Is engineering applied science?
Ubiquity, 2022

AMF-Placer 2.0: Open Source Timing-driven Analytical Mixed-size Placer for Large-scale Heterogeneous FPGA.
CoRR, 2022

Attack Directories on ARM big.LITTLE Processors.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Machine Learning Based Webcasting Analytics for Indian Elections - Reflections on Deployment.
Proceedings of the Computer Vision and Image Processing - 7th International Conference, 2022

2021
Hard-ODT: Hardware-Friendly Online Decision Tree Learning Algorithm and System.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

A Survey of Algorithmic and Hardware Optimization Techniques for Vision Convolutional Neural Networks on FPGAs.
Neural Process. Lett., 2021

Variable Bit-Precision Vector Extension for RISC-V Based Processors.
Proceedings of the 14th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2021

Detection of Cache Side Channel Attacks Using Thread Level Monitoring of Hardware Performance Counters.
Proceedings of the 14th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2021

Compressing CNNs by Exponent Sharing in Weights using IEEE Single Precision Format.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

AMF-Placer: High-Performance Analytical Mixed-size Placer for FPGA.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Load-Step: A Precise TrustZone Execution Control Framework for Exploring New Side-channel Attacks Like Flush+Evict.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Exploiting Weight Statistics for Compressed Neural Network Implementation on Hardware.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

2020
Optimizing OpenCL-Based CNN Design on FPGA with Comprehensive Design Space Exploration and Collaborative Performance Modeling.
ACM Trans. Reconfigurable Technol. Syst., 2020

Performance Modeling and Directives Optimization for High-Level Synthesis on FPGA.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

DASH: Design Automation for Synthesis and Hardware Generation for CNN.
Proceedings of the International Conference on Field-Programmable Technology, 2020

FP-Stereo: Hardware-Efficient Stereo Vision for Embedded Applications.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020

iGPU Leak: An Information Leakage Vulnerability on Intel Integrated GPU.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

HL-Pow: A Learning-Based Power Modeling Framework for High-Level Synthesis.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
An Ensemble Learning Approach for In-Situ Monitoring of FPGA Dynamic Power.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Artificial intelligence for all using R programming language.
AI Matters, 2019

Optimization of Convolutional Neural Networks on Resource Constrained Devices.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Smart Nation: Indoor Navigation for the Visually Impaired.
Proceedings of the 4th International Conference on Intelligent Transportation Engineering, 2019

Smart Nation: Offline Public Transport Made Easy.
Proceedings of the 4th International Conference on Intelligent Transportation Engineering, 2019

Hi-ClockFlow: Multi-Clock Dataflow Automation and Throughput Optimization in High-Level Synthesis.
Proceedings of the International Conference on Computer-Aided Design, 2019

A Hybrid Data-Consistent Framework for Link-Aware AccessManagement in Emerging CPU-FPGA Platforms.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

Towards Efficient and Scalable Acceleration of Online Decision Tree Learning on FPGA.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

Machine Learning Based Routing Congestion Prediction in FPGA High-Level Synthesis.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

LAMA: Link-Aware Hybrid Management for Memory Accesses in Emerging CPU-FPGA Platforms.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Scalable Light-Weight Integration of FPGA Based Accelerators with Chip Multi-Processors.
IEEE Trans. Multi Scale Comput. Syst., 2018

Hi-DMM: High-Performance Dynamic Memory Management in High-Level Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Parallelizing Hardware Tasks on Multicontext FPGA With Efficient Placement and Scheduling Algorithms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

A Collaborative Framework for FPGA-based CNN Design Modeling and Optimization.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018

CAMAS: Static and Dynamic Hybrid Cache Management for CPU-FPGA Platforms.
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018

2017
HeteroSim: A Heterogeneous CPU-FPGA Simulator.
IEEE Comput. Archit. Lett., 2017

COMBA: A comprehensive model-based analysis framework for high level synthesis of real applications.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

A hybrid approach to cache management in heterogeneous CPU-FPGA platforms.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Decision tree based hardware power monitoring for run time dynamic power management in FPGA.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

PAAS: A system level simulator for heterogeneous computing architectures.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

2016
Low-Power FPGA Design Using Memoization-Based Approximate Computing.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Area Efficient Hardware Architecture for Implicitly-Defined Complex Events Processing.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Analytical Delay Model for CPU-FPGA Data Paths in Programmable System-on-Chip FPGA.
Proceedings of the Applied Reconfigurable Computing - 12th International Symposium, 2016

2015
Hierarchical library based power estimator for versatile FPGAs.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

Static hardware task placement on multi-context FPGA using hybrid genetic algorithm.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

2014
Intelligent high level synthesis for customization on reconfigurable platforms
PhD thesis, 2014

Dataflow Graph Partitioning for Area-Efficient High-Level Synthesis with Systems Perspective.
ACM Trans. Design Autom. Electr. Syst., 2014

Extended Compatibility Path Based Hardware binding: an Adaptive Algorithm for High Level synthesis of Area-Time Efficient Designs.
J. Circuits Syst. Comput., 2014

Architecture and Application-Aware Management of Complexity of Mapping Multiplication to FPGA DSP Blocks in High Level Synthesis.
Int. J. Reconfigurable Comput., 2014

IP-Enabled C/C++ Based High Level Synthesis: A Step towards Better Designer Productivity and Design Performance.
Int. J. Reconfigurable Comput., 2014

A Bit-Level Block Cipher Diffusion Analysis Test - BLDAT.
Proceedings of the 3rd International Conference on Frontiers of Intelligent Computing: Theory and Applications (FICTA) 2014, 2014

2012
Dataflow graph partitioning for high level synthesis.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

2011
A Novel Binding Algorithm to Reduce Critical Path Delay During High Level Synthesis.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011


  Loading...