Shi-Hao Chen

According to our database1, Shi-Hao Chen authored at least 16 papers between 2007 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Application of Generative Adversarial Networks for Virtual Silicon Data Generation and Design-Technology Co-Optimization: A Study on WAT and CP.
IEEE Access, 2024

2019
Micro-Architecture Optimization for Low-Power Bitcoin Mining ASICs.
Proceedings of the International Symposium on VLSI Design, Automation and Test, 2019

2018
DVFS Binning Using Machine-Learning Techniques.
Proceedings of the IEEE International Test Conference in Asia, 2018

Body-biasing assisted vmin optimization for 5nm-node multi-Vt FD-SOI 6T-SRAM.
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018

2016
Statistical Framework and Built-In Self-Speed-Binning System for Speed Binning Using On-Chip Ring Oscillators.
IEEE Trans. Very Large Scale Integr. Syst., 2016

2014
Practical Routability-Driven Design Flow for Multilayer Power Networks Using Aluminum-Pad Layer.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Power-switch routing for reducing dynamic IR drop in multi-domain MTCMOS designs.
Proceedings of the Technical Papers of 2014 International Symposium on VLSI Design, 2014

Cost-effective decap selection for beyond die power integrity.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Routability-driven bump assignment for chip-package co-design.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Power-Up Sequence Control for MTCMOS Designs.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Testing retention flip-flops in power-gated designs.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

2012
On effective flip-chip routing via pseudo single redistribution layer.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

An Efficient Hamiltonian-cycle power-switch routing for MTCMOS designs.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2010
Testing methods for detecting stuck-open power switches in coarse-grain MTCMOS designs.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

2008
Experiences of low power design implementation and verification.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
DFM/DFY practices during physical designs for timing, signal integrity, and power.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007


  Loading...