Mango Chia-Tso Chao

Orcid: 0000-0002-7299-9015

According to our database1, Mango Chia-Tso Chao authored at least 72 papers between 1999 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
CNN-Based Stochastic Regression for IDDQ Outlier Identification.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

DRC Violation Prediction After Global Route Through Convolutional Neural Network.
IEEE Trans. Very Large Scale Integr. Syst., September, 2023

Test Generation for Defect-Based Faults of Scan Flip-Flops.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023

Outlier Detection for Analog Tests Using Deep Learning Techniques.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023

Enhancing Good-Die-in-Bad-Neighborhood Methodology with Wafer-Level Defect Pattern Information.
Proceedings of the IEEE International Test Conference, 2023

DRC Violation Prediction with Pre-global-routing Features Through Convolutional Neural Network.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

2022
Test Methodology for Defect-Based Bridge Faults.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Methodology of Generating Timing-Slack-Based Cell-Aware Tests.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Rule Generation for Classifying SLT Failed Parts.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022

Path-Based Pre-Routing Timing Prediction for Modern Very Large-Scale Integration Designs.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

A Reinforcement Learning Agent for Obstacle-Avoiding Rectilinear Steiner Tree Construction.
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022

Improving Cell-Aware Test for Intra-Cell Short Defects.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Identifying Good-Dice-in-Bad-Neighborhoods Using Artificial Neural Networks.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

2020
CNN-based Stochastic Regression for IDDQ Outlier Identification.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

Test Methodology for Defect-based Bridge Faults.
Proceedings of the IEEE International Test Conference in Asia, 2020

Transforming Global Routing Report into DRC Violation Map with Convolutional Neural Network.
Proceedings of the ISPD 2020: International Symposium on Physical Design, Taipei, Taiwan, March 29, 2020

Power Distribution Network Generation for Optimizing IR-Drop Aware Timing.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

2019
Layout-Based Dual-Cell-Aware Tests.
Proceedings of the 37th IEEE VLSI Test Symposium, 2019

Micro-Architecture Optimization for Low-Power Bitcoin Mining ASICs.
Proceedings of the International Symposium on VLSI Design, Automation and Test, 2019

2018
A Model-Based-Random-Forest Framework for Predicting V<sub>t</sub> Mean and Variance Based on Parallel I<sub>d</sub> Measurement.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

DVFS Binning Using Machine-Learning Techniques.
Proceedings of the IEEE International Test Conference in Asia, 2018

2017
Generating Routing-Driven Power Distribution Networks With Machine-Learning Technique.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Fast WAT test structure for measuring Vt variance based on latch-based comparators.
Proceedings of the 35th IEEE VLSI Test Symposium, 2017

Methodology of generating dual-cell-aware tests.
Proceedings of the 35th IEEE VLSI Test Symposium, 2017

Predicting Vt variation and static IR drop of ring oscillators using model-fitting techniques.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Statistical Framework and Built-In Self-Speed-Binning System for Speed Binning Using On-Chip Ring Oscillators.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Predicting Shot-Level SRAM Read/Write Margin Based on Measured Transistor Characteristics.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Predicting Vt mean and variance from parallel Id measurement with model-fitting technique.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016

Statistical methodology to identify optimal placement of on-chip process monitors for predicting fmax.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

2015
Random pattern generation for post-silicon validation of DDR3 SDRAM.
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015

Statistical techniques for predicting system-level failure using stress-test data.
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015

Testing methods for quaternary content addressable memory using charge-sharing sensing scheme.
Proceedings of the 2015 IEEE International Test Conference, 2015

2014
Fast Transistor Threshold Voltage Measurement Method for High-Speed, High-Accuracy Advanced Process Characterization.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Novel Circuit-Level Model for Gate Oxide Short and its Testing Method in SRAMs.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Practical Routability-Driven Design Flow for Multilayer Power Networks Using Aluminum-Pad Layer.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Testing methods for a write-assist disturbance-free dual-port SRAM.
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014

Power-switch routing for reducing dynamic IR drop in multi-domain MTCMOS designs.
Proceedings of the Technical Papers of 2014 International Symposium on VLSI Design, 2014

2013
Power-Up Sequence Control for MTCMOS Designs.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Fault Models and Test Methods for Subthreshold SRAMs.
IEEE Trans. Computers, 2013

Testing of a low-VMIN data-aware dynamic-supply 8T SRAM.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

Investigation of gate oxide short in FinFETs and the test methods for FinFET SRAMs.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

Testing retention flip-flops in power-gated designs.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

2012
Testing Methodology of Embedded DRAMs.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Testing strategies for a 9T sub-threshold SRAM.
Proceedings of the 2012 IEEE International Test Conference, 2012

Alternate hammering test for application-specific DRAMs and an industrial case study.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

An Efficient Hamiltonian-cycle power-switch routing for MTCMOS designs.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
A Novel Pixel Design for AM-OLED Displays Using Nanocrystalline Silicon TFTs.
IEEE Trans. Very Large Scale Integr. Syst., 2011

A Novel Test Flow for One-Time-Programming Applications of NROM Technology.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Design-for-debug layout adjustment for FIB probing and circuit editing.
Proceedings of the 2011 IEEE International Test Conference, 2011

Detecting stability faults in sub-threshold SRAMs.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

2010
Scan-Cell Reordering for Minimizing Scan-Shift Power Based on Nonspecified Test Cubes.
ACM Trans. Design Autom. Electr. Syst., 2010

A Metal-Only-ECO Solver for Input-Slew and Output-Loading Violations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Theoretical analysis for low-power test decompression using test-slice duplication.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Mask versus Schematic - an enhanced design-verification flow for first silicon success.
Proceedings of the 2011 IEEE International Test Conference, 2010

Fault models and test methods for subthreshold SRAMs.
Proceedings of the 2011 IEEE International Test Conference, 2010

Testing methods for detecting stuck-open power switches in coarse-grain MTCMOS designs.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Mathematical yield estimation for two-dimensional-redundancy memory arrays.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

2009
Multiple-Fault Diagnosis Using Faulty-Region Identification.
Proceedings of the 27th IEEE VLSI Test Symposium, 2009

A novel array-based test methodology for local process variation monitoring.
Proceedings of the 2009 IEEE International Test Conference, 2009

Power-switch routing for coarse-grain MTCMOS technologies.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

Fault models for embedded-DRAM macros.
Proceedings of the 46th Design Automation Conference, 2009

2008
Scan-Chain Reordering for Minimizing Scan-Shift Power Based on Non-Specified Test Cubes.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

Testing Methodology of Embedded DRAMs.
Proceedings of the 2008 IEEE International Test Conference, 2008

2007
A hybrid scheme for compacting test responses with unknown values.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

2006
Coverage loss by using space compactors in presence of unknown values.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Unknown-tolerance analysis and test-quality control for test response compaction using space compactors.
Proceedings of the 43rd Design Automation Conference, 2006

2005
ChiYun Compact: A Novel Test Compaction Technique for Responses with Unknown Values.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

Response shaper: a novel technique to enhance unknown tolerance for output response compaction.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

2004
A clustering- and probability-based approach for time-multiplexed FPGA partitioning.
Integr., 2004

Pattern Selection for Testing of Deep Sub-Micron Timing Defects.
Proceedings of the 2004 Design, 2004

2001
Generic ILP-Based Approaches for Dynamically Reconfigurable FPGA Partitioning.
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001

1999
A clustering- and probability-based approach for time-multiplexed FPGA partitioning.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999


  Loading...