Shobha Vasudevan

Orcid: 0000-0002-6995-3219

According to our database1, Shobha Vasudevan authored at least 60 papers between 2004 and 2021.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021
Feature Engineering for Scalable Application-Level Post-Silicon Debugging.
CoRR, 2021

Learning Semantic Representations to Verify Hardware Designs.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

2020
Assertion Ranking Using RTL Source Code Analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Emphasizing Functional Relevance Over State Restoration in Post-Silicon Signal Tracing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

2019
Guilty As Charged: Computational Reliability Threats Posed By Electrostatic Discharge-induced Soft Errors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

A figure of merit for assertions in verification.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

2018
Application level hardware tracing for scaling post-silicon debug.
Proceedings of the 55th Annual Design Automation Conference, 2018

Automated Generation and Selection of Interpretable Features for Enterprise Security.
Proceedings of the IEEE International Conference on Big Data (IEEE BigData 2018), 2018

2017
A novel test compression algorithm for analog circuits to decrease production costs.
Integr., 2017

Still a Fight to Get It Right: Verification in the Era of Machine Learning.
Proceedings of the IEEE International Conference on Rebooting Computing, 2017

2016
Automated Transient Input Stimuli Generation for Analog Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Symptomatic Bug Localization for Functional Debug of Hardware Designs.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016

Duplex: simultaneous parameter-performance exploration for optimizing analog circuits.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Every test makes a difference: Compressing analog tests to decrease production costs.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Can't See the Forest for the Trees: State Restoration's Limitations in Post-silicon Trace Signal Selection.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Packer classifier based on PE header information.
Proceedings of the 2015 Symposium and Bootcamp on the Science of Security, 2015

Fast eye diagram analysis for high-speed CMOS circuits.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Scaling Input Stimulus Generation through Hybrid Static and Dynamic Analysis of RTL.
ACM Trans. Design Autom. Electr. Syst., 2014

Efficient Statistical Model Checking of Hardware Circuits With Multiple Failure Regions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

A Coverage Guided Mining Approach for Automatic Generation of Succinct Assertions.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

Code Coverage of Assertions Using RTL Source Code Analysis.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Formal Probabilistic Timing Verification in RTL.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Mining Hardware Assertions With Guidance From Static Analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Automatic Generation of System Level Assertions from Transaction Level Models.
J. Electron. Test., 2013

Using automatically generated invariants for regression testing and bug localization.
Proceedings of the 2013 28th IEEE/ACM International Conference on Automated Software Engineering, 2013

Diagnosing root causes of system level performance violations.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Scaling RTL property checking using feasible path analysisand decomposition.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

Generating concise assertions with complete coverage.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

Reachability analysis of nonlinear analog circuits through iterative reachable set reduction.
Proceedings of the Design, Automation and Test in Europe, 2013

Runtime verification of nonlinear analog circuits using incremental time-augmented RRT algorithm.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
Formal Performance Analysis for Faulty MIMO Hardware.
IEEE Trans. Very Large Scale Integr. Syst., 2012

A Technique for Test Coverage Closure Using GoldMine.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Word level feature discovery to enhance quality of assertion mining.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

Early prediction of NBTI effects using RTL source code analysis.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Goal-oriented stimulus generation for analog circuits.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Using static analysis for coverage extraction fromemulation/prototyping platforms.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012

Verifying dynamic power management schemes using statistical model checking.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
Coverage closure in SoC verification: Are we chasing a mirage?
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Variation-Conscious Formal Timing Verification in RTL.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

Automatic generation of assertions from system level design using data mining.
Proceedings of the 9th IEEE/ACM International Conference on Formal Methods and Models for Codesign, 2011

PRECIS: Inferring invariants using program path guided clustering.
Proceedings of the 26th IEEE/ACM International Conference on Automated Software Engineering (ASE 2011), 2011

Signature Pattern Covering via Local Greedy Algorithm and Pattern Shrink.
Proceedings of the 11th IEEE International Conference on Data Mining, 2011

Scaling probabilistic timing verification of hardware using abstractions in design source code.
Proceedings of the International Conference on Formal Methods in Computer-Aided Design, 2011

Efficient validation input generation in RTL by hybridized source code analysis.
Proceedings of the Design, Automation and Test in Europe, 2011

Towards coverage closure: Using GoldMine assertions for generating design validation stimulus.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
Automatic Compositional Reasoning for Probabilistic Model Checking of Hardware Designs.
Proceedings of the QEST 2010, 2010

Statistical guarantees of performance for MIMO designs.
Proceedings of the 2010 IEEE/IFIP International Conference on Dependable Systems and Networks, 2010

GoldMine: Automatic assertion generation using data mining and static analysis.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
Dedicated Rewriting: Automatic Verification of Low Power Transformations in Register Transfer Level.
J. Low Power Electron., 2009

Dedicated Rewriting: Automatic Verification of Low Power Transformations in RTL.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009

STAR: Generating input vectors for design validation by static analysis of RTL.
Proceedings of the IEEE International High Level Design Validation and Test Workshop, 2009

2008
Sequential equivalence checking between system level and RTL descriptions.
Des. Autom. Embed. Syst., 2008

2007
Automatic Verification of Arithmetic Circuits in RTL Using Stepwise Refinement of Term Rewriting Systems.
IEEE Trans. Computers, 2007

Improved verification of hardware designs through antecedent conditioned slicing.
Int. J. Softw. Tools Technol. Transf., 2007

Efficient Microprocessor Verification using Antecedent Conditioned Slicing.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

2006
Automatic decomposition for sequential equivalence checking of system level and RTL descriptions.
Proceedings of the 4th ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2006), 2006

Automatic generation of instruction sequences targeting hard-to-detect structural faults in a processor.
Proceedings of the 2006 IEEE International Test Conference, 2006

2005
Automated mapping of pre-computed module-level test sequences to processor instructions.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

2004
Efficient Model Checking of Hardware Using Conditioned Slicing.
Proceedings of the Fouth International Workshop on Automated Verification of Critical Systems, 2004

Static program transformations for efficient software model checking.
Proceedings of the Building the Information Society, 2004


  Loading...