Tatsuo Ohtsuki

According to our database1, Tatsuo Ohtsuki authored at least 75 papers between 1976 and 2012.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Awards

IEEE Fellow

IEEE Fellow 1984, "For contributions to circuit theory and computer-aided circuit analysis.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2012
Robust Secure Scan Design Against Scan-Based Differential Cryptanalysis.
IEEE Trans. Very Large Scale Integr. Syst., 2012

2011
A Fast Selector-Based Subtract-Multiplication Unit and Its Application to Butterfly Unit.
IPSJ Trans. Syst. LSI Des. Methodol., 2011

Exact, Fast and Flexible L1 Cache Configuration Simulation for Embedded Systems.
IPSJ Trans. Syst. LSI Des. Methodol., 2011

A Fault-Secure High-Level Synthesis Algorithm for RDR Architectures.
IPSJ Trans. Syst. LSI Des. Methodol., 2011

Scan Vulnerability in Elliptic Curve Cryptosystems.
IPSJ Trans. Syst. LSI Des. Methodol., 2011

2010
Improved Launch for Higher TDF Coverage With Fewer Test Patterns.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Scan-Based Side-Channel Attack against RSA Cryptosystems Using Scan Signatures.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

Performance-driven high-level synthesis with floorplan for GDR architectures and its evaluation.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

State-dependent changeable scan architecture against scan-based side channel attacks.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Scan-based attack against elliptic curve cryptosystems.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

A fast selector-based subtract-multiplication unit and its application to Radix-2 butterfly unit.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

VLSI implementation of a fast intra prediction algorithm for H.264/AVC encoding.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

BusMesh NoC: A novel NoC architecture comprised of bus-based connection and global mesh routers.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

2009
A Two-Level Cache Design Space Exploration System for Embedded Applications.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

An L1 Cache Design Space Exploration System for Embedded Applications.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Unified Dual-Radix Architecture for Scalable Montgomery Multiplications in <i>GF</i>(<i>P</i>) and <i>GF</i>(2<sup><i>n</i></sup>).
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

X-Handling for Current X-Tolerant Compactors with More Unknowns and Maximal Compaction.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Floorplan-Aware High-Level Synthesis for Generalized Distributed-Register Architectures.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

A Scan-Based Attack Based on Discriminators for AES Cryptosystems.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Design-for-secure-test for crypto cores.
Proceedings of the 2009 IEEE International Test Conference, 2009

Exact and fast L1 cache simulation for embedded systems.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
Floorplan-Driven High-Level Synthesis for Distributed/Shared-Register Architectures.
IPSJ Trans. Syst. LSI Des. Methodol., 2008

A Unified Test Compression Technique for Scan Stimulus and Unknown Masking Data with No Test Loss.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

A Secure Test Technique for Pipelined Advanced Encryption Standard.
IEICE Trans. Inf. Syst., 2008

Scalable unified dual-radix architecture for Montgomery multiplication in GF(P) and GF(2<sup>n</sup>).
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

GECOM: Test data compression combined with all unknown response masking.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

FIR filter design on Flexible Engine/Generic ALU array and its dedicated synthesis algorithm.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

Unknown response masking with minimized observable response loss and mask data.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

Dynamically reconfigurable architecture for multi-rate compatible regular LDPC decoding.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

2007
Design for Secure Test - A Case Study on Pipelined Advanced Encryption Standard.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

2006
A Fast Elliptic Curve Cryptosystem LSI Embedding Word-Based Montgomery Multiplier.
IEICE Trans. Electron., 2006

Selective Low-Care Coding: A Means for Test Data Compression in Circuits with Multiple Scan Chains.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

FCSCAN: an efficient multiscan-based test compression technique for test cost reduction.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

An interface-circuit synthesis method with configurable processor core in IP-based SoC designs.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
A SIMD Instruction Set and Functional Unit Synthesis Algorithm with SIMD Operation Decomposition.
IEICE Trans. Inf. Syst., 2005

Sub-operation Parallelism Optimization in SIMD Processor Core Synthesis.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2005

Sub-operation parallelism optimization in SIMD processor synthesis and its experimental evaluations.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Low Power Test Compression Technique for Designs with Multiple Scan Chain.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

A processor core synthesis system in IP-based SoC design.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Alternative Run-Length Coding through Scan Chain Reconfiguration for Joint Minimization of Test Data Volume and Power Consumption in Scan Test.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004

A thread partitioning algorithm in low power high-level synthesis.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

Instruction set and functional unit synthesis for SIMD processor cores.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

A cosynthesis algorithm for application specific processors with heterogeneous datapaths.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
A Hardware/Software Cosynthesis System for Processor Cores with Content Addressable Memories.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

A Hardware/Software Partitioning Algorithm for Processor Cores with Packed SIMD-Type Instructions.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

A Retargetable Simulator Generator for DSP Processor Cores with Packed SIMD-type Instructions.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

A Built-in Reseeding Technique for LFSR-Based Test Pattern Generation.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

A hardware/software partitioning algorithm for SIMD processor cores.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

2002
A High-Level Energy-Optimizing Algorithm for System VLSIs Based on Area/Time/Power Estimation.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2002

High-Level Area/Delay/Power Estimation for Low Power System VLSIs with Gated Clocks.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2002

An Algorithm and a Flexible Architecture for Fast Block-Matching Motion Estimation.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2002

VLSI Architecture for a Flexible Motion Estimation with Parameters.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

An algorithm of hardware unit generation for processor core synthesis with packed SIMD type instructions.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2002, 2002

2001
Area/delay estimation for digital signal processor cores.
Proceedings of ASP-DAC 2001, 2001

2000
An area/time optimizing algorithm in high-level synthesis for control-based hardwares (short paper).
Proceedings of ASP-DAC 2000, 2000

1999
Fast Motion Estimation Scheme for Video Coding Using Feature Vector Matching and Motion Vector's Correlations.
J. Circuits Syst. Comput., 1999

A Simultaneous Placement and Global Routing Algorithm for FPGAs with Power Optimization.
J. Circuits Syst. Comput., 1999

A Hardware/Software Partitioning Algorithm for Processor Cores of Digital Signal Processing.
Proceedings of the 1999 Conference on Asia South Pacific Design Automation, 1999

1998
Maple-opt: a performance-oriented simultaneous technology mapping, placement, and global routing algorithm for FPGAs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

An Incremental Placement and Global Routing Algorithm for Field-Programmable Gate Arrays.
Proceedings of the ASP-DAC '98, 1998

A High-Level Synthesis System for Digital Signal Processing Based on Enumerating Data-Flow Graphs.
Proceedings of the ASP-DAC '98, 1998

1997
A Performance-Oriented Circuit Partitioning Algorithm with Logic-Block Replication for Multi-FPGA Systems.
J. Circuits Syst. Comput., 1997

A simultaneous placement and global routing algorithm with path length constraints for transport-processing FPGAs.
Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, 1997

1995
Maple-opt: a simultaneous technology mapping, placement, and global routing algorithm FPGAs with performance optimization.
Proceedings of the 1995 Conference on Asia Pacific Design Automation, Makuhari, Massa, Chiba, Japan, August 29, 1995

1994
A Simultaneous Placement and Global Routing Algorithm for FPGAs.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

A simultaneous technology mapping, placement, and global routing algorithm for field-programmable gate arrays.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

1992
An optimal chip compaction method based on shortest path algorithm with automatic jog insertion.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

1990
Recent advances in VLSI layout.
Proc. IEEE, 1990

A Hardware Implementation of Gridless Routing Based on Content Addressable Memory.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990

1987
Applications of computational geometry to VLSI layout pattern design.
Integr., 1987

1986
A Hardware Maze Router with Application to Interactive Rip-Up and Reroute.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1986

1981
On Minimal Augmentation of a Graph to Obtain an Interval Graph.
J. Comput. Syst. Sci., 1981

1980
The two disjoint path problem and wire routing design.
Proceedings of the Graph Theory and Algorithms, 1980

1979
One-dimensional logic gate assignment and interval graphs.
Proceedings of the IEEE Computer Society's Third International Computer Software and Applications Conference, 1979

1976
A Fast Algorithm for Finding an Optimal Ordering for Vertex Elimination on a Graph.
SIAM J. Comput., 1976


  Loading...