Shinji Kimura

Orcid: 0000-0002-9779-7516

According to our database1, Shinji Kimura authored at least 103 papers between 1982 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Input Data Format for Sparse Matrix in Quantum Annealing Emulator.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2024

2023
Theory and Application of Topology-Based Exact Synthesis for Majority-Inverter Graphs.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., September, 2023

Area Efficient Approximate 4-2 Compressor and Probability-Based Error Adjustment for Approximate Multiplier.
IEEE Trans. Circuits Syst. II Express Briefs, May, 2023

Evaluation of Application-Independent Unbiased Approximate Multipliers on Quantized Convolutional Neural Networks.
Proceedings of the 36th IEEE International System-on-Chip Conference, 2023

An 8-point Approximate DCT Design with Optimized Signed Digit Encoding.
Proceedings of the 36th IEEE International System-on-Chip Conference, 2023

Prime Factorization Based on Multiple Quantum Annealings on Partial Constraints with Analytical Variable Reduction.
Proceedings of the 36th IEEE International System-on-Chip Conference, 2023

A Hardware-Efficient Approximate Multiplier Combining Inexact Same-weight N:2 Compressors and Remapping Logic with Error Recovery.
Proceedings of the 36th IEEE International System-on-Chip Conference, 2023

Compressed Input Data Format of Quantum Annealing Emulator.
Proceedings of the Data Compression Conference, 2023

2022
Exploration of an Inflection Point of Ventilation Parameters with Anaerobic Threshold Using Strucchange.
Sensors, 2022

ApproxTorch: An Approximate Multiplier Evaluation Environment for CNNs based on Pytorch.
Proceedings of the 19th International SoC Design Conference, 2022

Topology-Based Exact Synthesis for Majority Inverter Graph.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

2021
Energy-Efficient Approximate Floating-Point Multiplier Based on Radix-8 Booth Encoding.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

2020
Approximate FPGA-Based Multipliers Using Carry-Inexact Elementary Modules.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2020

Accuracy-Configurable Low-Power Approximate Floating-Point Multiplier Based on Mantissa Bit Segmentation.
Proceedings of the 2020 IEEE Region 10 Conference, 2020

Small-Area and Low-Power FPGA-Based Multipliers using Approximate Elementary Modules.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Approximate DCT Design for Video Encoding Based on Novel Truncation Scheme.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Design of Low-Cost Approximate Multipliers Based on Probability-Driven Inexact Compressors.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2019

Energy-Efficient and High-Speed Approximate Signed Multipliers with Sign-Focused Compressors.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

Approximate Multiplier Using Reordered 4-2 Compressor with OR-based Error Compensation.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

2018
A Variable-Clock-Cycle-Path VLSI Design of Binary Arithmetic Decoder for H.265/HEVC.
IEEE Trans. Circuits Syst. Video Technol., 2018

Towards Ultrasound Everywhere: A Portable 3D Digital Back-End Capable of Zone and Compound Imaging.
IEEE Trans. Biomed. Circuits Syst., 2018

Lossy Compression for Embedded Computer Vision Systems.
IEEE Access, 2018

Energy-Efficient and High Performance Approximate Multiplier Using Compressors Based on Input Reordering.
Proceedings of the TENCON 2018, 2018

Design of Power and Area Efficient Lower-Part-OR Approximate Multiplier.
Proceedings of the TENCON 2018, 2018

Sparseness Ratio Allocation and Neuron Re-pruning for Neural Networks Compression.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Embedded Frame Compression for Energy-Efficient Computer Vision Systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A Radix-4 Partial Product Generation-Based Approximate Multiplier for High-speed and Low-power Digital Signal Processing.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

Quad-multiplier packing based on customized floating point for convolutional neural networks on FPGA.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

Sparse ternary connect: Convolutional neural networks using ternarized weights with enhanced sparsity.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

Low-Cost Approximate Multiplier Design using Probability-Driven Inexact Compressors.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

2017
Fast Algorithm and VLSI Architecture of Rate Distortion Optimization in H.265/HEVC.
IEEE Trans. Multim., 2017

An 8K H.265/HEVC Video Decoder Chip With a New System Pipeline Design.
IEEE J. Solid State Circuits, 2017

A 7-Die 3D Stacked 3840×2160@120 fps Motion Estimation Processor.
IEICE Trans. Electron., 2017

Distortion Control and Optimization for Lossy Embedded Compression in Video Codec System.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017

Accelerating HEVC Inter Prediction with Improved Merge Mode Handling.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017

Time-efficient and TSV-aware 3D gated clock tree synthesis based on self-tuning spectral clustering.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

Effective write-reduction method for MLC non-volatile memory.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

A low-cost approximate 32-point transform architecture.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

2016
A Low-Power VLSI Architecture for HEVC De-Quantization and Inverse Transform.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2016

Power-efficient and slew-aware three dimensional gated clock tree synthesis.
Proceedings of the 2016 IFIP/IEEE International Conference on Very Large Scale Integration, 2016

14.7 A 4Gpixel/s 8/10b H.265/HEVC video decoder chip for 8K Ultra HD applications.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

Frame-level quality and memory traffic allocation for lossy embedded compression in video codec systems.
Proceedings of the 2016 IEEE International Conference on Multimedia & Expo Workshops, 2016

CNN-MERP: An FPGA-based memory-efficient reconfigurable processor for forward and backward propagation of convolutional neural networks.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

Optimization of area and power in multi-mode power gating scheme for static memory elements.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016

2015
Low-Power Motion Estimation Processor with 3D Stacked Memory.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

ECC-Based Bit-Write Reduction Code Generation for Non-Volatile Memory.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

Merge mode based fast inter prediction for HEVC.
Proceedings of the 2015 Visual Communications and Image Processing, 2015

An independent bandwidth reduction device for HEVC VLSI video system.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Hardware-oriented rate-distortion optimization algorithm for HEVC intra-frame encoder.
Proceedings of the 2015 IEEE International Conference on Multimedia & Expo Workshops, 2015

A bit-write reduction method based on error-correcting codes for non-volatile memories.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
Fast SAO Estimation Algorithm and Its Implementation for 8K×4K @ 120 FPS HEVC Encoding.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

An area-efficient 4/8/16/32-point inverse DCT architecture for UHDTV HEVC decoder.
Proceedings of the 2014 IEEE Visual Communications and Image Processing Conference, 2014

Fast SAO estimation algorithm and its VLSI architecture.
Proceedings of the 2014 IEEE International Conference on Image Processing, 2014

2013
Write Control Method for Nonvolatile Flip-Flops Based on State Transition Analysis.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

An Exact Approach for GPC-Based Compressor Tree Synthesis.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

Dual-Stage Pseudo Power Gating with Advanced Clustering Algorithm for Gate Level Power Optimization.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

Eyeglass-based hands-free videophone.
Proceedings of the 17th Annual International Symposium on Wearable Computers. ISWC 2013, 2013

Energy evaluation for two-level on-chip cache with non-volatile memory on mobile processors.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

Controlling-value-based power gating considering controllability propagation and power-off probability.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

2012
Automatic Multi-Stage Clock Gating Optimization Using ILP Formulation.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2012

On Gate Level Power Optimization of Combinational Circuits Using Pseudo Power Gating.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2012

2011
Multi-Operand Adder Synthesis Targeting FPGAs.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2011

Power and delay aware synthesis of multi-operand adders targeting LUT-based FPGAs.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

Multi-stage power gating based on controlling values of logic gates.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

High-parallel LDPC decoder with power gating design.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

2010
Power Optimization of Sequential Circuits Using Switching Activity Based Clock Gating.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

Multi-operand adder synthesis on FPGAs using generalized parallel counters.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Framework for Parallel Prefix Adder Synthesis Considering Switching Activities.
IPSJ Trans. Syst. LSI Des. Methodol., 2009

Finite Input-Memory Automaton Based Checker Synthesis of SystemVerilog Assertions for FPGA Prototyping.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Foreword.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Optimizing Controlling-Value-Based Power Gating with Gate Count and Switching Activity.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

An objective and subjective evaluation of an autostereoscopic 3d display.
Proceedings of the 27th International Conference on Human Factors in Computing Systems, 2009

2008
Issue Mechanism for Embedded Simultaneous Multithreading Processor.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Efficient Hybrid Grid Synthesis Method Based on Genetic Algorithm for Power/Ground Network Optimization with Dynamic Signal Consideration.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

The Optimal Architecture Design of Two-Dimension Matrix Multiplication Jumping Systolic Array.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Fine-Grained Power Gating Based on the Controlling Value of Logic Elements.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Synthesis of parallel prefix adders considering switching activities.
Proceedings of the 26th International Conference on Computer Design, 2008

2006
Coverage Estimation Using Transition Perturbation for Symbolic Model Checking in Hardware Verification.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

Selective Low-Care Coding: A Means for Test Data Compression in Circuits with Multiple Scan Chains.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

Bit-Length Optimization Method for High-Level Synthesis Based on Non-linear Programming Technique.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

Transition-based coverage estimation for symbolic model checking.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

FCSCAN: an efficient multiscan-based test compression technique for test cost reduction.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Special Section on VLSI Design and CAD Algorithms.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2005

Extended abstract: transition traversal coverage estimation for symbolic model checking.
Proceedings of the 3rd ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2005), 2005

A Reconfigurable Processor Based on ALU Array Architecture with Limitation on the Interconnection.
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005

Low Power Test Compression Technique for Designs with Multiple Scan Chain.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

2004
Alternative Run-Length Coding through Scan Chain Reconfiguration for Joint Minimization of Test Data Volume and Power Consumption in Scan Test.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004

Minimization of fractional wordlength on fixed-point conversion for high-level synthesis.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
A Built-in Reseeding Technique for LFSR-Based Test Pattern Generation.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

Bit Length Optimization of Fractional Part on Floating to Fixed Point Conversion for High-Level Synthesis.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

2002
Look Up Table Compaction Based on Folding of Logic Functions.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2002

Folding of logic functions and its application to look up table compaction.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

2001
Speech recognition chip for monosyllables.
Proceedings of ASP-DAC 2001, 2001

A real-time 64-monosyllable recognition LSI with learning mechanism.
Proceedings of ASP-DAC 2001, 2001

2000
Multi-clock path analysis using propositional satisfiability.
Proceedings of ASP-DAC 2000, 2000

An application specific Java processor with reconfigurabilities.
Proceedings of ASP-DAC 2000, 2000

1998
Waiting false path analysis of sequential logic circuits for performance optimization.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

1997
A Hardware/Software Codesign Method for a General Purpose Reconfigurable Co-Processor.
Proceedings of the Fifth International Workshop on Hardware/Software Codesign, 1997

1995
Residue BDD and Its Application to the Verification of Arithmetic Circuits.
Proceedings of the 32st Conference on Design Automation, 1995

1992
Precise timing verification of logic circuits under combined delay model.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

1990
A parallel algorithm for constructing binary decision diagrams.
Proceedings of the 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1990

1987
Description and verification of input constraints and input-output specifications of logic circuits.
Syst. Comput. Jpn., 1987

1982
An Interactive Simulation System for structured logic design - ISS.
Proceedings of the 19th Design Automation Conference, 1982


  Loading...