Youhua Shi

Orcid: 0000-0002-1473-9776

According to our database1, Youhua Shi authored at least 59 papers between 2003 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Strategy for Improving Cycle of Maximized Energy Output of Triboelectric Nanogenerators.
Proceedings of the International Conference on IC Design and Technology, 2023

An Area-Power-Efficient Multiplier-less Processing Element Design for CNN Accelerators.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

2021
Power-Efficient Deep Convolutional Neural Network Design Through Zero-Gating PEs and Partial-Sum Reuse Centric Dataflow.
IEEE Access, 2021

A Reconfigurable Area and Energy Efficient Hardware Accelerator of Five High-order Operators for Vision Sensor Based Robot Systems.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

2020
Transition Detector-Based Radiation-Hardened Latch for Both Single- and Multiple-Node Upsets.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

Faithfully Truncated Adder-Based Area-Power Efficient FIR Design with Predefined Output Accuracy.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2020

A High-Performance Symmetric Hybrid Form Design for High-Order FIR Filters.
Proceedings of the 2020 IEEE Asia Pacific Conference on Circuits and Systems, 2020

2019
Static Error Analysis and Optimization of Faithfully Truncated Adders for Area-Power Efficient FIR Designs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

An Adder-Segmentation-based FIR for High Speed Signal Processing.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

A Zero-Gating Processing Element Design for Low-Power Deep Convolutional Neural Networks.
Proceedings of the 2019 IEEE Asia Pacific Conference on Circuits and Systems, 2019

A Bit-Segmented Adder Chain based Symmetric Transpose Two-Block FIR Design for High-Speed Signal Processing.
Proceedings of the 2019 IEEE Asia Pacific Conference on Circuits and Systems, 2019

2018
A Low Power Soft Error Hardened Latch with Schmitt-Trigger-Based C-Element.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2018

Extension and Performance/Accuracy Formulation for Optimal GeAr-Based Approximate Adder Designs.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2018

Hardware Trojan Detection Utilizing Machine Learning Approaches.
Proceedings of the 17th IEEE International Conference On Trust, 2018

2017
A low cost and high speed CSD-based symmetric transpose block FIR implementation.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

Soft error tolerant latch designs with low power consumption (invited paper).
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
Timing monitoring paths selection for wide voltage IC.
IEICE Electron. Express, 2016

In-situ Trojan authentication for invalidating hardware-Trojan functions.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

A delay variation and floorplan aware high-level synthesis algorithm with body biasing.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

2015
An Effective Suspicious Timing-Error Prediction Circuit Insertion Algorithm Minimizing Area Overhead.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

A Hardware-Trojans Identifying Method Based on Trojan Net Scoring at Gate-Level Netlists.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

An Energy-Efficient Floorplan Driven High-Level Synthesis Algorithm for Multiple Clock Domains Design.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

A process-variation-aware multi-scenario high-level synthesis algorithm for distributed-register architectures.
Proceedings of the 28th IEEE International System-on-Chip Conference, 2015

A score-based classification method for identifying hardware-trojans at gate-level netlists.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Improved monitoring-path selection algorithm for suspicious timing error prediction based timing speculation.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

A low-power soft error tolerant latch scheme.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

2014
Throughput driven check point selection in suspicious timing error prediction based designs.
Proceedings of the IEEE 5th Latin American Symposium on Circuits and Systems, 2014

An area-overhead-oriented monitoring-path selection algorithm for suspicious timing error prediction.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

In-situ timing monitoring methods for variation-resilient designs.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

Secure scan design using improved random order and its evaluations.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

2013
Floorplan Driven Architecture and High-Level Synthesis Algorithm for Dynamic Multiple Supply Voltages.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

An energy-efficient high-level synthesis algorithm incorporating interconnection delays and dynamic multiple supply voltages.
Proceedings of the 2013 International Symposium on VLSI Design, Automation, and Test, 2013

Secure Scan Design with Dynamically Configurable Connection.
Proceedings of the IEEE 19th Pacific Rim International Symposium on Dependable Computing, 2013

Suspicious timing error prediction with in-cycle clock gating.
Proceedings of the International Symposium on Quality Electronic Design, 2013

Concurrent faulty clock detection for crypto circuits against clock glitch based DFA.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

2012
Robust Secure Scan Design Against Scan-Based Differential Cryptanalysis.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Scan-Based Attack on AES through Round Registers and Its Countermeasure.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2012

MH<sup>4</sup> : multiple-supply-voltages aware high-level synthesis for high-integrated and high-frequency circuits for HDR architectures.
IEICE Electron. Express, 2012

Dynamically changeable secure scan architecture against scan-based side channel attack.
Proceedings of the International SoC Design Conference, 2012

State dependent scan flip-flop with key-based configuration against scan-based side channel attack on RSA circuit.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

2010
Improved Launch for Higher TDF Coverage With Fewer Test Patterns.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

State-dependent changeable scan architecture against scan-based side channel attacks.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

VLSI implementation of a fast intra prediction algorithm for H.264/AVC encoding.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

2009
Unified Dual-Radix Architecture for Scalable Montgomery Multiplications in <i>GF</i>(<i>P</i>) and <i>GF</i>(2<sup><i>n</i></sup>).
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

X-Handling for Current X-Tolerant Compactors with More Unknowns and Maximal Compaction.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Design-for-secure-test for crypto cores.
Proceedings of the 2009 IEEE International Test Conference, 2009

2008
A Unified Test Compression Technique for Scan Stimulus and Unknown Masking Data with No Test Loss.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

A Secure Test Technique for Pipelined Advanced Encryption Standard.
IEICE Trans. Inf. Syst., 2008

Scalable unified dual-radix architecture for Montgomery multiplication in GF(P) and GF(2<sup>n</sup>).
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

GECOM: Test data compression combined with all unknown response masking.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

Unknown response masking with minimized observable response loss and mask data.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

2007
Design for Secure Test - A Case Study on Pipelined Advanced Encryption Standard.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

2006
Selective Low-Care Coding: A Means for Test Data Compression in Circuits with Multiple Scan Chains.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

Low-Cost IP Core Test Using Multiple-Mode Loading Scan Chain and Scan Chain Clusters.
Proceedings of the 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 2006

FCSCAN: an efficient multiscan-based test compression technique for test cost reduction.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Low Power Test Compression Technique for Designs with Multiple Scan Chain.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

2004
Alternative Run-Length Coding through Scan Chain Reconfiguration for Joint Minimization of Test Data Volume and Power Consumption in Scan Test.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004

2003
A Built-in Reseeding Technique for LFSR-Based Test Pattern Generation.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

Multiple test set generation method for LFSR-based BIST.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003


  Loading...