Teruo Tanimoto

Orcid: 0000-0002-9068-0972

According to our database1, Teruo Tanimoto authored at least 25 papers between 2012 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
SFQ counter-based precomputation for large-scale cryogenic VQE machines.
CoRR, 2024

Inter-Temperature Bandwidth Reduction in Cryogenic QAOA Machines.
IEEE Comput. Archit. Lett., 2024

2023
Empirical Power-performance Analysis of Layer-wise CNN Inference on Single Board Computers.
J. Inf. Process., 2023

Circuit designs for practical-scale fault-tolerant quantum computing.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

WIT-Greedy: Hardware System Design of Weighted ITerative Greedy Decoder for Surface Code.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
An Edge Autonomous Lamp Control with Camera Feedback.
Proceedings of the 8th IEEE World Forum on Internet of Things, 2022

Q3DE: A fault-tolerant quantum computer architecture for multi-bit burst errors by cosmic rays.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

Design of Variable Bit-Width Arithmetic Unit Using Single Flux Quantum Device.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

XQsim: modeling cross-technology control processors for 10+K qubit quantum computers.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

2021
Superconductor Computing for Neural Networks.
IEEE Micro, 2021

2020
32 GHz 6.5 mW Gate-Level-Pipelined 4-Bit Processor using Superconductor Single-Flux-Quantum Logic.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

SuperNPU: An Extremely Fast Neural Processing Unit Using Superconducting Logic Devices.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

Practical Error Modeling Toward Realistic NISQ Simulation.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

How Many Trials Do We Need for Reliable NISQ Computing?
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

Enhancing a manycore-oriented compressed cache for GPGPU.
Proceedings of the International Conference on High Performance Computing in Asia-Pacific Region, 2020

2019
Critical Path Based Microarchitectural Bottleneck Analysis for Out-of-Order Execution.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2019

2017
Dependence Graph Model for Accurate Critical Path Analysis on Out-of-Order Processors.
J. Inf. Process., 2017

Enhanced Dependence Graph Model for Critical Path Analysis on Modern Out-of-Order Processors.
IEEE Comput. Archit. Lett., 2017

Heavy Tails in Program Structure.
IEEE Comput. Archit. Lett., 2017

Why do programs have heavy tails?
Proceedings of the 2017 IEEE International Symposium on Workload Characterization, 2017

CPCI Stack: Metric for Accurate Bottleneck Analysis on OoO Microprocessors.
Proceedings of the Fifth International Symposium on Computing and Networking, 2017

2015
A Flexible Direct Attached Storage for a Data Intensive Application.
IEICE Trans. Inf. Syst., 2015

2014
Hardware-assisted scalable flow control of shared receive queue.
Proceedings of the 2014 International Conference on Supercomputing, 2014

FlexDAS: A flexible direct attached storage for I/O intensive applications.
Proceedings of the 2014 IEEE International Conference on Big Data (IEEE BigData 2014), 2014

2012
Scalability-based manycore partitioning.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2012


  Loading...