Masamitsu Tanaka

Orcid: 0000-0001-8577-3819

Affiliations:
  • Nagoya University, Japan


According to our database1, Masamitsu Tanaka authored at least 29 papers between 2008 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
SFQ counter-based precomputation for large-scale cryogenic VQE machines.
CoRR, 2024

Inter-Temperature Bandwidth Reduction in Cryogenic QAOA Machines.
IEEE Comput. Archit. Lett., 2024

2023
Circuit designs for practical-scale fault-tolerant quantum computing.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

QIsim: Architecting 10+K Qubit QC Interfaces Toward Quantum Supremacy.
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023

High-Frequency Operation of Low-Power Single-Flux-Quantum Circuits Fabricated Using 250 A/cm<sup>2</sup> Nb/AlOx/Nb Planarization Process.
Proceedings of the International Conference on IC Design and Technology, 2023

2022
NEO-QEC: Neural Network Enhanced Online Superconducting Decoder for Surface Codes.
CoRR, 2022

Design of Variable Bit-Width Arithmetic Unit Using Single Flux Quantum Device.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

XQsim: modeling cross-technology control processors for 10+K qubit quantum computers.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

QULATIS: A Quantum Error Correction Methodology toward Lattice Surgery.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

2021
Superconductor Computing for Neural Networks.
IEEE Micro, 2021

Planarized Nb 4-Layer Fabrication Process for Superconducting Integrated Circuits and Its Fabricated Device Evaluation.
IEICE Trans. Electron., 2021

QECOOL: On-Line Quantum Error Correction with a Superconducting Decoder for Surface Code.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
32 GHz 6.5 mW Gate-Level-Pipelined 4-Bit Processor using Superconductor Single-Flux-Quantum Logic.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

SuperNPU: An Extremely Fast Neural Processing Unit Using Superconducting Logic Devices.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

2019
A 48GHz 5.6mW Gate-Level-Pipelined Multiplier Using Single-Flux Quantum Logic.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

2018
Thermally Assisted Superconductor Transistors for Josephson-CMOS Hybrid Memories.
IEICE Trans. Electron., 2018

Energy/Space-Efficient Rapid Single-Flux-Quantum Circuits by Using <i>π</i>-Shifted Josephson Junctions.
IEICE Trans. Electron., 2018

Towards Ultra-High-Speed Cryogenic Single-Flux-Quantum Computing.
IEICE Trans. Electron., 2018

2016
High-Throughput Rapid Single-Flux-Quantum Circuit Implementations for Exponential and Logarithm Computation Using the Radix-2 Signed-Digit Representation.
IEICE Trans. Electron., 2016

Development of an Advanced Circuit Model for Superconducting Strip Line Detector Arrays.
IEICE Trans. Electron., 2016

Single-flux-quantum cache memory architecture.
Proceedings of the International SoC Design Conference, 2016

2014
High-Speed Operation of 0.25-mV RSFQ Arithmetic Logic Unit Based on 10-kA/cm<sup>2</sup> Nb Process Technology.
IEICE Trans. Electron., 2014

Large-Scale Integrated Circuit Design Based on a Nb Nine-Layer Structure for Reconfigurable Data-Path Processors.
IEICE Trans. Electron., 2014

2011
Layout-Driven Skewed Clock Tree Synthesis for Superconducting SFQ Circuits.
IEICE Trans. Electron., 2011

2010
100 GHz Demonstrations Based on the Single-Flux-Quantum Cell Library for the 10 kA/cm<sup>2</sup> Nb Multi-Layer Process.
IEICE Trans. Electron., 2010

Automated Passive-Transmission-Line Routing Tool for Single-Flux-Quantum Circuits Based on A* Algorithm.
IEICE Trans. Electron., 2010

Comparisons of Synchronous-Clocking SFQ Adders.
IEICE Trans. Electron., 2010

2009
Design of fast digit-serial adders using SFQ logic circuits.
IEICE Electron. Express, 2009

2008
Bit-Serial Single Flux Quantum Microprocessor CORE.
IEICE Trans. Electron., 2008


  Loading...