Wei-Bin Yang

Orcid: 0000-0003-4185-0776

According to our database1, Wei-Bin Yang authored at least 35 papers between 1999 and 2022.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
A Programmable Multiple Frequencies Clock Generator With Process and Temperature Compensation Circuit for System on Chip Design.
IEEE Syst. J., 2022

ThreshNet: An Efficient DenseNet Using Threshold Mechanism to Reduce Connections.
IEEE Access, 2022

2021
Instrumentation of Twin-MCMs based mutual-test.
Microelectron. J., 2021

Asynchronous Digital Low-Dropout Regulator With Dual Adjustment Mode in Ultra-Low Voltage Input.
IEEE Access, 2021

Asynchronous Domino Binary search Digital LDO.
Proceedings of the International Symposium on Intelligent Signal Processing and Communication Systems, 2021

2017
A Selectable Discrete-Voltage Output and Fast-Settling Low-Dropout Regulator Using Half Digitally-Assistant Voltage Accelerator.
J. Signal Process. Syst., 2017

Design of Fast-Locked Digitally Controlled Low-Dropout Regulator for Ultra-low Voltage Input.
Circuits Syst. Signal Process., 2017

2016
A 25 MHz crystal less clock generator with background calibration against process and temperature variation.
Comput. Electr. Eng., 2016

2015
Analysis and design considerations of static CMOS logics under process, voltage and temperature variation in UMC 0.18µm CMOS process.
Proceedings of the 2015 International Symposium on Intelligent Signal Processing and Communication Systems, 2015

2013
A multiple frequency clock generator using wide operation frequency range phase interpolator.
Microelectron. J., 2013

A GHz full-division-range programmable divider with output duty-cycle improved.
Proceedings of the 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2013

2012
A 300 mV 10 MHz 4 kb 10T subthreshold SRAM for ultralow-power application.
Proceedings of the International Symposium on Intelligent Signal Processing and Communications Systems, 2012

2011
A 0.5 V 320 MHz 8 bit×8 bit pipelined multiplier in 130 nm CMOS process.
Microelectron. J., 2011

A synthesizable pseudo fractional-N clock generator with improved duty cycle output.
Microelectron. J., 2011

A new temperature independent current controlled oscillator.
Proceedings of the International Symposium on Intelligent Signal Processing and Communications Systems, 2011

2010
A Pseudo Fractional-<i>N</i> Clock Generator with 50% Duty Cycle Output.
IEICE Trans. Electron., 2010

2009
Designing an Ultralow-Voltage Phase-Locked Loop Using a Bulk-Driven Technique.
IEEE Trans. Circuits Syst. II Express Briefs, 2009

High-Speed and Ultra-Low-Voltage Divide-by-4/5 Counter for Frequency Synthesizer.
IEICE Trans. Electron., 2009

Designing ultra-low voltage PLL Using a bulk-driven technique.
Proceedings of the 35th European Solid-State Circuits Conference, 2009

0.5V 160-MHz 260uW all digital phase-locked loop.
Proceedings of the 2009 IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2009

2008
A Spread-Spectrum Clock Generator Using Fractional PLL Controlled Delta-Sigma Modulator for Serial-ATA III.
Proceedings of the 11th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2008), 2008

2007
New Power Gating Structure with Low Voltage Fluctuations by Bulk Controller in Transition Mode.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

A 100 MHz-1 GHz Adaptive Bandwidth PLL Using TDC Technique.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

2006
The new improved pseudo fractional-N clock generator with 50% duty cycle.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A New Dynamic Floating Input D Flip-Flop (DFIDFF) for High Speed and Ultra Low Voltage Divided-by 4/5 Prescaler.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

A Variable Duty Cycle with High-Resolution Synchronous Mirror Delay.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

Analysis and Design of High Performance, Low Power Multiple Ports Register Files.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2006, 2006

2005
A 2GHz fully differential DLL-based frequency multiplier for high speed serial link circuit.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

The new approach of programmable pseudo fractional-N clock generator for GHz operation with 50% duty cycle.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005

2004
A dual-slope phase frequency detector and charge pump architecture to achieve fast locking of phased-locked loop.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

2003
A dual-slope phase frequency detector and charge pump architecture to achieve fast locking of phase-locked loop.
IEEE Trans. Circuits Syst. II Express Briefs, 2003

2001
A low-power high driving ability voltage control oscillator used in PLL.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

A difference detector PFD for low jitter PLL.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

A CMOS low power voltage controlled oscillator with split-path controller.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

1999
The suggestion for CFS CMOS buffer.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999


  Loading...