Weizhe Hua

Orcid: 0000-0002-5231-9799

According to our database1, Weizhe Hua authored at least 23 papers between 2016 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Information Flow Control in Machine Learning through Modular Model Architecture.
CoRR, 2023

2022
Reverse-Engineering CNN Models Using Side-Channel Attacks.
IEEE Des. Test, 2022

Structured Pruning is All You Need for Pruning CNNs at Initialization.
CoRR, 2022

SoftVN: efficient memory protection via software-provided version numbers.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

MGX: near-zero overhead memory protection for data-intensive accelerators.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

Transformer Quality in Linear Time.
Proceedings of the International Conference on Machine Learning, 2022

GuardNN: secure accelerator architecture for privacy-preserving deep learning.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
Sinan: Data Driven Resource Management for Cloud Microservices.
CoRR, 2021

Sinan: Data-Driven, QoS-Aware Cluster Management for Microservices.
CoRR, 2021

BulletTrain: Accelerating Robust Neural Network Training via Boundary Example Mining.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

Sinan: ML-based and QoS-aware resource management for cloud microservices.
Proceedings of the ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021

2020
Contrastive Weight Regularization for Large Minibatch SGD.
CoRR, 2020

GuardNN: Secure DNN Accelerator for Privacy-Preserving Deep Learning.
CoRR, 2020

MgX: Near-Zero Overhead Memory Protection with an Application to Secure DNN Acceleration.
CoRR, 2020

Precision Gating: Improving Neural Network Efficiency with Dynamic Dual-Precision Activations.
Proceedings of the 8th International Conference on Learning Representations, 2020

2019
Channel Gating Neural Networks.
Proceedings of the Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, 2019

Boosting the Performance of CNN Accelerators with Dynamic Fine-Grained Channel Gating.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

2018
Channel Gating Neural Networks.
CoRR, 2018

Reverse engineering convolutional neural networks through side-channel information leaks.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
Secure Information Flow Verification with Mutable Dependent Types.
Proceedings of the 54th Annual Design Automation Conference, 2017

2016
A Low-Power Low-Area Error-Detecting Latch for Resilient Architectures in 28-nm FDSOI.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

Low Area, Low Power, Robust, Highly Sensitive Error Detecting Latch for Resilient Architectures.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

Analysis and Design of Delay Lines for Dynamic Voltage Scaling Applications.
Proceedings of the 22nd IEEE International Symposium on Asynchronous Circuits and Systems, 2016


  Loading...