Hai Wang

Orcid: 0000-0002-4003-2758

Affiliations:
  • University of Electronics Science Technology of China, School of Microelectronics and Solid-State Electronics, Chengdu, China
  • University of California, Riverside, Department of Electrical Engineering, CA, USA (PhD 2012)


According to our database1, Hai Wang authored at least 53 papers between 2009 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
fastESN: Fast Echo State Network.
IEEE Trans. Neural Networks Learn. Syst., December, 2023

2022
DBP: Distributed Power Budgeting for Many-Core Systems in Dark Silicon.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

2021
Runtime Performance Optimization of 3-D Microprocessors in Dark Silicon.
IEEE Trans. Computers, 2021

2020
Compact Piecewise Linear Model Based Temperature Control of Multicore Systems Considering Leakage Power.
IEEE Trans. Ind. Informatics, 2020

Leakage-Aware Predictive Thermal Management for Multicore Systems Using Echo State Network.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

2019
Runtime Stress Estimation for Three-dimensional IC Reliability Management Using Artificial Neural Network.
ACM Trans. Design Autom. Electr. Syst., 2019

STREAM: Stress and Thermal Aware Reliability Management for 3-D ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

GDP: A Greedy Based Dynamic Power Budgeting Method for Multi/Many-Core Systems in Dark Silicon.
IEEE Trans. Computers, 2019

Leakage-aware thermal management for multi-core systems using piecewise linear model based predictive control.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

2018
Thermal-Sensor-Based Occupancy Detection for Smart Buildings Using Machine-Learning Methods.
ACM Trans. Design Autom. Electr. Syst., 2018

A Fast Leakage-Aware Full-Chip Transient Thermal Estimation Method.
IEEE Trans. Computers, 2018

2017
Energy and Lifetime Optimizations for Dark Silicon Manycore Microprocessor Considering Both Hard and Soft Errors.
IEEE Trans. Very Large Scale Integr. Syst., 2017

A quantitative design methodology for high-speed interpolation/averaging ADCs.
Integr., 2017

Comprehensive detection of counterfeit ICs via on-chip sensor and post-fabrication authentication policy.
Proceedings of the 14th International Conference on Synthesis, 2017

2016
GPU-Accelerated Parallel Sparse LU Factorization Method for Fast Circuit Analysis.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Statistical Rare-Event Analysis and Parameter Guidance by Elite Learning Sample Selection.
ACM Trans. Design Autom. Electr. Syst., 2016

Hierarchical Dynamic Thermal Management Method for High-Performance Many-Core Microprocessors.
ACM Trans. Design Autom. Electr. Syst., 2016

Parallel GMRES solver for fast analysis of large linear dynamic systems on GPU platforms.
Integr., 2016

New power budgeting and thermal management scheme for multi-core systems in dark silicon.
Proceedings of the 29th IEEE International System-on-Chip Conference, 2016

Online Unusual Behavior Detection for Temperature Sensor Networks.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Fast stress analysis for runtime reliability enhancement of 3D IC using artificial neural network.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

Learning-based occupancy behavior detection for smart buildings.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Dynamic reliability management for near-threshold dark silicon processors.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Thermal modeling for energy-efficient smart building with advanced overfitting mitigation technique.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Task Migrations for Distributed Thermal Management Considering Transient Effects.
IEEE Trans. Very Large Scale Integr. Syst., 2015

<i>H</i>-Matrix-Based Finite-Element-Based Thermal Analysis for 3D ICs.
ACM Trans. Design Autom. Electr. Syst., 2015

H<sup>2</sup>-matrix-based finite element linear solver for fast transient thermal analysis of high-performance ICs.
Int. J. Circuit Theory Appl., 2015

Learning Based Compact Thermal Modeling for Energy-Efficient Smart Building Management: (invited).
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

2014
Compact Lateral Thermal Resistance Model of TSVs for Fast Finite-Difference Based Thermal Analysis of 3-D Stacked ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Compact thermal modeling for packaged microprocessor design with practical power maps.
Integr., 2014

A new segmentation-based GPU-accelerated sparse matrix-vector multiplication.
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014

Hybrid dynamic thermal management method with model predictive control.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

2013
Composable thermal modeling and simulation for architecture-level thermal designs of multicore microprocessors.
ACM Trans. Design Autom. Electr. Syst., 2013

Parallel power grid analysis using preconditioned GMRES solver on CPU-GPU platforms.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

A power-driven thermal sensor placement algorithm for dynamic thermal management.
Proceedings of the Design, Automation and Test in Europe, 2013

Dynamic thermal management for multi-core microprocessors considering transient thermal effects.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

Compact nonlinear thermal modeling of packaged integrated systems.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

Quantitative analysis for high speed interpolated/averaging ADC.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

Distributed task migration for thermal hot spot reduction in many-core microprocessors.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

2012
Compact Modeling and Analysis for Electronic and Thermal Effects of Nanometer Integrated and Packaged Systems.
PhD thesis, 2012

Compact Modeling of Interconnect Circuits over Wide Frequency Band by Adaptive Complex-Valued Sampling Method.
ACM Trans. Design Autom. Electr. Syst., 2012

Fast Statistical Full-Chip Leakage Analysis for Nanometer VLSI Systems.
ACM Trans. Design Autom. Electr. Syst., 2012

Fast timing analysis of clock networks considering environmental uncertainty.
Integr., 2012

Transient analysis of large linear dynamic networks on hybrid GPU-multicore platforms.
Proceedings of the 10th IEEE International NEWCAS Conference, 2012

Runtime power estimator calibration for high-performance microprocessors.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

A GPU-accelerated envelope-following method for switching power converter simulation.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Parallel statistical analysis of analog circuits by GPU-accelerated graph-based approach.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Full-chip runtime error-tolerant thermal estimation and prediction for practical thermal management.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Compact thermal modeling for package design with practical power maps.
Proceedings of the 2011 International Green Computing Conference and Workshops, 2011

Battery state of charge estimation using adaptive subspace identification method.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

2010
A fast analog mismatch analysis by an incremental and stochastic trajectory piecewise linear macromodel.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

Wideband reduced modeling of interconnect circuits by adaptive complex-valued sampling method.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Fast analysis of nontree-clock network considering environmental uncertainty by parameterized and incremental macromodeling.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009


  Loading...