David M. Bull

According to our database1, David M. Bull authored at least 21 papers between 2008 and 2019.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2019
GeST: An Automatic Framework For Generating CPU Stress-Tests.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2019

2017
Power Integrity Analysis of a 28 nm Dual-Core ARM Cortex-A57 Cluster Using an All-Digital Power Delivery Monitor.
IEEE J. Solid State Circuits, 2017

2015
A 0.6V all-digital body-coupled wakeup transceiver for IoT applications.
Proceedings of the Symposium on VLSI Circuits, 2015

14.6 An all-digital power-delivery monitor for analysis of a 28nm dual-core ARM Cortex-A57 cluster.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

Analysis of adaptive clocking technique for resonant supply voltage noise mitigation.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

Modeling and characterization of the system-level Power Delivery Network for a dual-core ARM Cortex-A57 cluster in 28nm CMOS.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

2014
A 1 GHz Hardware Loop-Accelerator With Razor-Based Dynamic Adaptation for Energy-Efficient Operation.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

A Low-Power 1-GHz Razor FIR Accelerator With Time-Borrow Tracking Pipeline and Approximate Error Correction in 65-nm CMOS.
IEEE J. Solid State Circuits, 2014

2013
Circuit-Level Timing Error Tolerance for Low-Power DSP Filters and Transforms.
IEEE Trans. Very Large Scale Integr. Syst., 2013

A low-power 1GHz razor FIR accelerator with time-borrow tracking pipeline and approximate error correction in 65nm CMOS.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

A 1GHz hardware loop-accelerator with razor-based dynamic adaptation for energy-efficient operation.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

2012
Selective time borrowing for DSP pipelines with hybrid voltage control loop.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
Correction to "A Power-Efficient 32 bit ARM Processor Using Timing-Error Detection and Correction for Transient-Error Tolerance and Adaptation to PVT Variation".
IEEE J. Solid State Circuits, 2011

A Power-Efficient 32 bit ARM Processor Using Timing-Error Detection and Correction for Transient-Error Tolerance and Adaptation to PVT Variation.
IEEE J. Solid State Circuits, 2011

Error-resilient low-power DSP via path-delay shaping.
Proceedings of the 48th Design Automation Conference, 2011

2010
A power-efficient 32b ARM ISA processor using timing-error detection and correction for transient-error tolerance and adaptation to PVT variation.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

A robust FIR filter with in situ error detection.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

2009
RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance.
IEEE J. Solid State Circuits, 2009

Addressing design margins through error-tolerant circuits.
Proceedings of the 46th Design Automation Conference, 2009

2008
Razor II: In Situ Error Detection and Correction for PVT and SER Tolerance.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

DVFS in loop accelerators using BLADES.
Proceedings of the 45th Design Automation Conference, 2008


  Loading...