Gi-Ho Park

Orcid: 0000-0001-7998-4302

According to our database1, Gi-Ho Park authored at least 47 papers between 1996 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
CaMeL-Net: Centroid-aware metric learning for efficient multi-class cancer classification in pathology images.
Comput. Methods Programs Biomed., November, 2023

An Integrated Solution to Improve Performance of In-Memory Data Caching With an Efficient Item Retrieving Mechanism and a Near-Memory Accelerator.
IEEE Access, 2023

2022
A Low-power Programmable Machine Learning Hardware Accelerator Design for Intelligent Edge Devices.
ACM Trans. Design Autom. Electr. Syst., 2022

2018
An adaptive cache replacement policy based on fine-grain reusability monitor.
IEICE Electron. Express, 2018

2017
NVM Way Allocation Scheme to Reduce NVM Writes for Hybrid Cache Architecture in Chip-Multiprocessors.
IEEE Trans. Parallel Distributed Syst., 2017

An analytical model based on performance demand of workload for energy-efficient heterogeneous multicore systems.
J. Parallel Distributed Comput., 2017

Sensor data compression and power management scheme for low power sensor hub.
IEICE Electron. Express, 2017

Intelligence Boosting Engine (IBE): A hardware accelerator for processing sensor fusion and machine learning algorithm for a sensor hub SoC.
Proceedings of the 2017 IEEE Symposium in Low-Power and High-Speed Chips, 2017

2016
Cooperative cache memory (CCM) based on the performance efficiency for 3D stacked memory system.
IEICE Electron. Express, 2016

Demand-Aware NVM Capacity Management Policy for Hybrid Cache Architecture.
Comput. J., 2016

2015
Accelerating Application Start-up with Nonvolatile Memory in Android Systems.
IEEE Micro, 2015

Data Classification Management with its Interfacing Structure for Hybrid SLC/MLC PRAM Main Memory.
Comput. J., 2015

2014
Adaptive replacement policy for hybrid cache architecture.
IEICE Electron. Express, 2014

2013
An adaptive L2 cache prefetching mechanism for effective exploitation of abundant memory bandwidth of 3-D IC technology.
IEICE Electron. Express, 2013

Versatile stream buffer architecture to exploit the high memory bandwidth of 3-D IC technology.
IEICE Electron. Express, 2013

Phase detection based data prefetching for utilizing memory bandwidth of 3D integrated circuits.
Proceedings of the 2013 IEEE International 3D Systems Integration Conference (3DIC), 2013

2012
Performance and energy-efficiency analysis of hybrid cache memory based on SRAM-MRAM.
Proceedings of the International SoC Design Conference, 2012

2011
Adaptive prefetching scheme for exploiting massive memory bandwidth of 3-D IC technology.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

2010
Design and implementation of Performance Analysis Unit (PAU) for AXI-based multi-core System on Chip (SOC).
Microprocess. Microsystems, 2010

An instruction-systolic programmable shader architecture for multi-threaded 3D graphics processing.
J. Parallel Distributed Comput., 2010

Fully digital clock frequency doubler.
IEICE Electron. Express, 2010

2009
A Way Enabling Mechanism Based on the Branch Prediction Information for Low Power Instruction Cache.
IEICE Trans. Electron., 2009

Low-Power Embedded Processor Design Using Branch Direction.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Sub-grouped superblock management for high-performance flash storages.
IEICE Electron. Express, 2009

An integrated mapping table for hybrid FTL with fault-tolerant address cache.
IEICE Electron. Express, 2009

2008
Power and Skew Aware Point Diffusion Clock Network.
IEICE Trans. Electron., 2008

2007
Cooperative Cache System: A Low Power Cache System for Embedded Processors.
IEICE Trans. Electron., 2007

Performance monitor unit design for an AXI-based multi-core SoC platform.
Proceedings of the 2007 ACM Symposium on Applied Computing (SAC), 2007

An efficient scheme for parallelizing fast search algorithm on SIMD architecture in H.264/AVC.
Proceedings of the ISCA 20th International Conference on Parallel and Distributed Computing Systems, 2007

2006
A deterministic way-prediction scheme using power-aware replacement policy.
Microprocess. Microsystems, 2006

Sim-ARM1136: A case study on the accuracy of the cycle-accurate simulator.
Microprocess. Microsystems, 2006

Practice and Experience of an Embedded Processor Core Modeling.
Proceedings of the High Performance Computing and Communications, 2006

Low-Power Data Cache Architecture by Address Range Reconfiguration for Multimedia Applications.
Proceedings of the Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, 2006

2005
p-Refinement Techniques for Vector Finite Elements in Electromagnetics.
PhD thesis, 2005

A new NAND-type flash memory package with smart buffer system for spatial and temporal localities.
J. Syst. Archit., 2005

2004
Dynamic and selective low power data TLB system.
Microprocess. Microsystems, 2004

A Low-Power Branch Predictor for Embedded Processors.
IEICE Trans. Inf. Syst., 2004

A Low-Power Tournament Branch Predictor.
IEICE Trans. Inf. Syst., 2004

Power-Aware Deterministic Block Allocation for Low-Power Way-Selective Cache Structure.
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004

2003
An Adaptive Multi-Module Cache with Hardware Prefetching Mechanism for Multimedia Applications.
Proceedings of the 11th Euromicro Workshop on Parallel, 2003

A selective filter-bank TLB system.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

Appliaction-Specific Data Cache Systems.
Proceedings of the ISCA 18th International Conference Computers and Their Applications, 2003

2002
An Advanced Filtering TLB for Low Power Consumption.
Proceedings of the 14th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2002), 2002

2000
A Dual Data Cache System to Reflect the Principle of Locality Effectively.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 2000

A Power Efficient Cache Structure for Embedded Processors Based on the Dual Cache Structure.
Proceedings of the Languages, 2000

1998
Methods to improve performance of instruction prefetching through balanced improvement of two primary performance factors.
J. Syst. Archit., 1998

1996
A compiler optimization to reduce execution time of loop nest.
SIGARCH Comput. Archit. News, 1996


  Loading...