Sung Woo Chung

Orcid: 0000-0001-5347-9586

According to our database1, Sung Woo Chung authored at least 96 papers between 1998 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Correction to: Aggressive GPU cache bypassing with monolithic 3D-based NoC.
J. Supercomput., April, 2023

Aggressive GPU cache bypassing with monolithic 3D-based NoC.
J. Supercomput., March, 2023

Scale-CIM: Precision-scalable computing-in-memory for energy-efficient quantized neural networks.
J. Syst. Archit., 2023

AMBITION: Ambient Temperature Aware VM Allocation for Edge Data Centers.
IEEE Access, 2023

Twin ECC: A Data Duplication Based ECC for Strong DRAM Error Resilience.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
Stealth ECC: A Data-Width Aware Adaptive ECC Scheme for DRAM Error Resilience.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Quantifying the Impact of Monolithic 3D (M3D) Integration on L1 Caches.
IEEE Trans. Emerg. Top. Comput., 2021

On-Demand Mobile CPU Cooling With Thin-Film Thermoelectric Array.
IEEE Micro, 2021

Thermal-aware adaptive VM allocation considering server locations in heterogeneous data centers.
J. Syst. Archit., 2021

Monolithic 3D stacked multiply-accumulate units.
Integr., 2021

Quant-PIM: An Energy-Efficient Processing-in-Memory Accelerator for Layerwise Quantized Neural Networks.
IEEE Embed. Syst. Lett., 2021

IDRA: An In-Storage Data Reorganization Accelerator for Multidimensional Databases.
IEEE Embed. Syst. Lett., 2021

Enhancing Matrix Multiplication With a Monolithic 3-D-Based Scratchpad Memory.
IEEE Embed. Syst. Lett., 2021

Characterizing the Thermal Feasibility of Monolithic 3D Microprocessors.
IEEE Access, 2021

2020
A novel warp scheduling scheme considering long-latency operations for high-performance GPUs.
J. Supercomput., 2020

Signal Strength-Aware Adaptive Offloading with Local Image Preprocessing for Energy Efficient Mobile Devices.
IEEE Trans. Computers, 2020

An Adaptive Thermal Management Framework for Heterogeneous Multi-Core Processors.
IEEE Trans. Computers, 2020

2019
Memory streaming acceleration for embedded systems with CPU-accelerator cooperative data processing.
Microprocess. Microsystems, 2019

Temperature-aware Adaptive VM Allocation in Heterogeneous Data Centers.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

Exploring the Relation between Monolithic 3D L1 GPU Cache Capacity and Warp Scheduling Efficiency.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

A High-Performance Processing-in-Memory Accelerator for Inline Data Deduplication.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

2018
A Survey on Recent OS-Level Energy Management Techniques for Mobile Processing Units.
IEEE Trans. Parallel Distributed Syst., 2018

Assessment of cerebral blood perfusion changes after neurorehabilitation therapy in patients with middle cerebral artery infarction: An acetazolamide-challenged SPECT study.
Int. J. Imaging Syst. Technol., 2018

Thermal Modeling and Validation of a Real-World Mobile AP.
IEEE Des. Test, 2018

2017
Enhancing Energy Efficiency of Multimedia Applications in Heterogeneous Mobile Multi-Core Processors.
IEEE Trans. Computers, 2017

Towards refresh-optimized EDRAM-based caches with a selective fine-grain round-robin refresh scheme.
Microprocess. Microsystems, 2017

Architecting large-scale SRAM arrays with monolithic 3D integration.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

Signal strength-aware adaptive offloading for energy efficient mobile devices.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

An energy-efficient task scheduler for mobile web browsing.
Proceedings of the IEEE International Conference on Consumer Electronics, 2017

2016
Exploiting Refresh Effect of DRAM Read Operations: A Practical Approach to Low-Power Refresh.
IEEE Trans. Computers, 2016

Exploration of temperature-aware refresh schemes for 3D stacked eDRAM caches.
Microprocess. Microsystems, 2016

Olfactory identification and white matter integrity in amnestic mild cognitive impairment: A preliminary study.
Int. J. Imaging Syst. Technol., 2016

2015
An Energy-Efficient Last-Level Cache Architecture for Process Variation-Tolerant 3D Microprocessors.
IEEE Trans. Computers, 2015

Stabilizing CPU Frequency and Voltage for Temperature-Aware DVFS in Mobile Devices.
IEEE Trans. Computers, 2015

M-DTM: migration-based dynamic thermal management for heterogeneous mobile multi-core processors.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Leveraging Process Variation for Performance and Energy: In the Perspective of Overclocking.
IEEE Trans. Computers, 2014

Efficacy of an NMDA receptor antagonist for Parkinson's disease dementia: A brain perfusion SPECT study.
Int. J. Imaging Syst. Technol., 2014

Measuring Variance between Smartphone Energy Consumption and Battery Life.
Computer, 2014

Application-aware scaling governor for wearable devices.
Proceedings of the 24th International Workshop on Power and Timing Modeling, 2014

2013
Exploiting Application/System-Dependent Ambient Temperature for Accurate Microarchitectural Simulation.
IEEE Trans. Computers, 2013

Performance and cache access time of SRAM-eDRAM hybrid caches considering wire delay.
Proceedings of the International Symposium on Quality Electronic Design, 2013

Process variation-tolerant 3D microprocessor design: An efficient architectural solution.
Proceedings of 2013 International Conference on IC Design & Technology, 2013

Accurate GPU power estimation for mobile device power profiling.
Proceedings of the IEEE International Conference on Consumer Electronics, 2013

2012
Fine-Grain Voltage Tuned Cache Architecture for Yield Management Under Process Variations.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Enhancing online power estimation accuracy for smartphones.
IEEE Trans. Consumer Electron., 2012

Clinical value of cardiac I-123 metaiodobenzylguanidine scintigraphy between Parkinson's disease and Parkinson's disease associated dementia.
Int. J. Imaging Syst. Technol., 2012

Recent thermal management techniques for microprocessors.
ACM Comput. Surv., 2012

An online power estimation technique for multi-core smartphones with advanced display components.
Proceedings of the IEEE International Conference on Consumer Electronics, 2012

Exploiting narrow-width values for process variation-tolerant 3-D microprocessors.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Display Power Management That Detects User Intent.
Computer, 2011

Exploration of CPU/GPU co-execution: from the perspective of performance, energy, and temperature.
Proceedings of the Research in Applied Computation Symposium, 2011

2010
Energy-Optimal Dynamic Thermal Management: Computation and Cooling Power Co-Optimization.
IEEE Trans. Ind. Informatics, 2010

On the Thermal Attack in Instruction Caches.
IEEE Trans. Dependable Secur. Comput., 2010

Predictive Temperature-Aware DVFS.
IEEE Trans. Computers, 2010

Load Unbalancing Strategy for Multicore Embedded Processors.
IEEE Trans. Computers, 2010

A fast and simple system performance emulator for enhanced solid state disks: a case study of long read operations.
J. Zhejiang Univ. Sci. C, 2010

Energy-aware instruction cache design using small trace cache.
IET Comput. Digit. Tech., 2010

Architecture/OS Support for Embedded Multi-core Systems.
Comput. J., 2010

Exploiting application-dependent ambient temperature for accurate architectural simulation.
Proceedings of the 28th International Conference on Computer Design, 2010

Thermal-aware Duplicated Filter Cache for Improving Processor Reliability.
Proceedings of the 2010 International Conference on Computer Design, 2010

2009
Solid-State Disk with Double Data Rate DRAM Interface for High-Performance PCs.
IEICE Trans. Inf. Syst., 2009

The impact of liquid cooling on 3D multi-core processors.
Proceedings of the 27th International Conference on Computer Design, 2009

Energy-optimal dynamic thermal management for green computing.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

Exploiting narrow-width values for thermal-aware register file designs.
Proceedings of the Design, Automation and Test in Europe, 2009

Selective wordline voltage boosting for caches to manage yield under process variations.
Proceedings of the 46th Design Automation Conference, 2009

2008
Energy and Performance Optimization of Demand Paging With OneNAND Flash.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

On-Demand Solution to Minimize I-Cache Leakage Energy with Maintaining Performance.
IEEE Trans. Computers, 2008

Adopting the Drowsy Technique for Instruction Caches: A Soft Error Perspective.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

An Accurate and Energy-Efficient Way Determination Technique for Instruction Caches by Early Tab Matching.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

Energy-Effective Instruction Fetch Unit for Embedded Processors.
Proceedings of the 5th IEEE Consumer Communications and Networking Conference, 2008

2007
Scenario-Aware Bus Functional Modeling for Architecture-Level Performance Analysis.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2007

Is the Complicated ECC Array Necessary for Data Caches?
Proceedings of the 2007 International Conference on Computer Design, 2007

2006
PP-cache: A partitioned power-aware instruction cache architecture.
Microprocess. Microsystems, 2006

Sim-ARM1136: A case study on the accuracy of the cycle-accurate simulator.
Microprocess. Microsystems, 2006

An Energy-Efficient Partitioned Instruction Cache Architecture for Embedded Processors.
IEICE Trans. Inf. Syst., 2006

A Novel Software Solution for Localized Thermal Problems.
Proceedings of the Parallel and Distributed Processing and Applications, 2006

Practice and Experience of an Embedded Processor Core Modeling.
Proceedings of the High Performance Computing and Communications, 2006

Demand paging for OneNAND<sup>TM</sup> Flash eXecute-in-place.
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006

On the Reliability of Drowsy Instruction Caches.
Proceedings of the Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, 2006

Using Branch Prediction Information for Near-Optimal I-Cache Leakage.
Proceedings of the Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, 2006

2005
DRACO: optimized CC-NUMA system with novel dual-link interconnections to reduce the memory latency.
SIGARCH Comput. Archit. News, 2005

Distance-aware L2 cache organizations for scalable multiprocessor systems.
J. Syst. Archit., 2005

A Split L2 Data Cache for Scalable Cc-numa Multiprocessors.
J. Circuits Syst. Comput., 2005

First-Level Instruction Cache Design for Reducing Dynamic Energy Consumption.
Proceedings of the Embedded Computer Systems: Architectures, 2005

An Accurate Architectural Simulator for ARM1136.
Proceedings of the Embedded and Ubiquitous Computing, 2005

2004
A Low-Power Branch Predictor for Embedded Processors.
IEICE Trans. Inf. Syst., 2004

A Low-Power Tournament Branch Predictor.
IEICE Trans. Inf. Syst., 2004

Utilization of the On-Chip L2 Cache Area in CC-NUMA Multiprocessors for Applications with a Small Working Set.
IEICE Trans. Inf. Syst., 2004

A Low Power Branch Predictor to Selectively Access the BTB.
Proceedings of the Advances in Computer Systems Architecture, 9th Asia-Pacific Conference, 2004

2002
A Second-Level Cache With the Distance-Aware Replacement Policy for NUMA Systems.
J. Inf. Sci. Eng., 2002

An Effective L2 Cache Replacement Policy to Distribute the Bus Traffic in the SMP Node.
Proceedings of the International Conference on Parallel and Distributed Computing Systems, 2002

2001
Efficient schemes to scale the interconnection network bandwidth in a ring-based multiprocessor system.
Proceedings of the 2001 ACM Symposium on Applied Computing (SAC), 2001

Accelerating the Continuous Data in a SCI-Based Multimedia System.
Proceedings of the Eigth International Conference on Parallel and Distributed Systems, 2001

2000
Analysis of slotted ring network in real-time systems.
Proceedings of the ISCA 15th International Conference Computers and Their Applications, 2000

1999
Optimal Interconnection Network Bandwidth for Ring-Based Multiprocessor Systems.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 1999

1998
PANDA: Ring-Based Multiprocessor System Using New Snooping Protocol.
Proceedings of the International Conference on Parallel and Distributed Systems, 1998


  Loading...