Jean-Luc Béchennec

Orcid: 0000-0002-3763-8417

According to our database1, Jean-Luc Béchennec authored at least 55 papers between 1987 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
SCHEMATIC: Compile-Time Checkpoint Placement and Memory Allocation for Intermittent Systems.
Proceedings of the IEEE/ACM International Symposium on Code Generation and Optimization, 2024

2023
Formal verification process of the compliance of a multicore AUTOSAR OS.
Softw. Qual. J., June, 2023

Cost-optimal timed trace synthesis for scheduling of intermittent embedded systems.
Discret. Event Dyn. Syst., March, 2023

Securing a RISC-V architecture: A dynamic approach.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
Formal Verification of the Inter-core Synchronization of a Multi-core RTOS Kernel.
Proceedings of the Formal Methods and Software Engineering, 2022

High-level Colored Time Petri Nets for true concurrency modeling in real-time software.
Proceedings of the 8th International Conference on Control, 2022

2021
Logical time control of concurrent DES.
Discret. Event Dyn. Syst., 2021

Formal schedulability analysis based on multi-core RTOS model.
Proceedings of the RTNS'2021: 29th International Conference on Real-Time Networks and Systems, 2021

Energy Efficiency is Not Enough: Towards a Batteryless Internet of Sounds.
Proceedings of the AM '21: Audio Mostly 2021, 2021

2020
Requirement specification and model-checking of a real-time scheduler implementation.
Proceedings of the 28th International Conference on Real Time Networks and Systems, 2020

2019
Control of DES with Urgency, Avoidability and Ineluctability.
Proceedings of the 19th International Conference on Application of Concurrency to System Design, 2019

2018
Testing Real-Time Systems With Runtime Enforcement.
IEEE Des. Test, 2018

Formal approach for a verified implementation of Global EDF in Trampoline.
Proceedings of the 26th International Conference on Real-Time Networks and Systems, 2018

Hardware Runtime Verification of a RTOS Kernel: Evaluation Using Fault Injection.
Proceedings of the 14th European Dependable Computing Conference, 2018

Formal model-based conformance verification of an OSEK/VDX compliant RTOS.
Proceedings of the 5th International Conference on Control, 2018

HW-based Architecture for Runtime Verification of Embedded Software on SoPC systems.
Proceedings of the 2018 NASA/ESA Conference on Adaptive Hardware and Systems, 2018

2017
Formal Model-Based Synthesis of Application-Specific Static RTOS.
ACM Trans. Embed. Comput. Syst., 2017

WCET Analysis by Model Checking for a Processor with Dynamic Branch Prediction.
Proceedings of the Verification and Evaluation of Computer and Communication Systems, 2017

2016
BEST: a Binary Executable Slicing Tool.
Proceedings of the 16th International Workshop on Worst-Case Execution Time Analysis, 2016

Hardware runtime verification of embedded software in SoPC.
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016

Testing real-time embedded software using runtime enforcement.
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016

2015
STM-HRT: A Robust and Wait-Free STM for Hard Real-Time Multicore Embedded Systems.
ACM Trans. Embed. Comput. Syst., 2015

Formal Synthesis of Optimal RTOS.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

2014
Improving processor hardware compiled cycle accurate simulation using program abstraction.
Proceedings of the 7th International ICST Conference on Simulation Tools and Techniques, 2014

Reactive embedded device driver synthesis using logical timed models.
Proceedings of the 4th International Conference On Simulation And Modeling Methodologies, 2014

2013
Device driver synthesis for embedded systems.
Proceedings of 2013 IEEE 18th Conference on Emerging Technologies & Factory Automation, 2013

Timing Analysis of Binary Programs with UPPAAL.
Proceedings of the 13th International Conference on Application of Concurrency to System Design, 2013

2012
Harmless, a hardware architecture description language dedicated to real-time embedded system simulation.
J. Syst. Archit., 2012

A Data Flow Monitoring Service Based on Runtime Verification for AUTOSAR.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

2011
An Architecture Description Language for Embedded Hardware Platforms.
Electron. Commun. Eur. Assoc. Softw. Sci. Technol., 2011

Computation of WCET using Program Slicing and Real-Time Model-Checking
CoRR, 2011

Extending Harmless architecture description language for embedded real-time systems validation.
Proceedings of the Industrial Embedded Systems (SIES), 2011

2010
ViPER: a lightweight approach to the simulation of distributed and embedded software.
Proceedings of the 3rd International Conference on Simulation Tools and Techniques, 2010

2009
Instruction set simulator generation using HARMLESS, a new hardware architecture description language.
Proceedings of the 2nd International Conference on Simulation Tools and Techniques for Communications, 2009

2008
Simulator generation using an automaton based pipeline model for timing analysis.
Proceedings of the International Multiconference on Computer Science and Information Technology, 2008

2006
Trampoline An Open Source Implementation of the OSEK/VDX RTOS Specification.
Proceedings of 11th IEEE International Conference on Emerging Technologies and Factory Automation, 2006

2002
Increasing hardware data prefetching performance using the second-level cache.
J. Syst. Archit., 2002

2000
The Best Distribution for a Parallel OpenGL 3D Engine with Texture Caches.
Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, 2000

1999
Two Schemes to Improve the Performance of a <i>Sort-Last</i> 3D Parallel Rendering Machine with Texture Caches.
Proceedings of the Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31, 1999

PopSPY: A PowerPC Instrumentation Tool for Multiprocessor Simulation.
Proceedings of the Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31, 1999

A Parallel Algorithm for 3D Geometry Transformations in OpenGL.
Proceedings of the Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31, 1999

1998
ASF: a teaching and rsearch object-oriented simulation tool for computer architecture design and performance evaluation.
Proceedings of the 1998 workshop on Computer architecture education, 1998

Evaluation of High Performance Multicache Parallel Texture Mapping.
Proceedings of the 12th international conference on Supercomputing, 1998

1997
Performance evaluation of the memory hierarchy of a desktop PC using commodity chips with specific traces.
Proceedings of the 23rd EUROMICRO Conference '97, 1997

1993
Hardware features of the static communication network of a parallel architecture.
Microprocess. Microprogramming, 1993

A Communication Architecture for a Massively Parallel Message-Passing Multicomputer.
J. Parallel Distributed Comput., 1993

Static computation of standard linear algebra subroutines for PTAH.
Proceedings of the 1993 Euromicro Workshop on Parallel and Distributed Processing, 1993

A Parralel Architecture Based on Compiled Communication Schemes.
Proceedings of the Parallel Computing: Trends and Applications, 1993

Balanced Distributed Memory Parallel Computers.
Proceedings of the 1993 International Conference on Parallel Processing, 1993

1992
Design of the processing node of the PTAH 64 parallel computer.
Microprocess. Microprogramming, 1992

PTAH: Introduction to a New Parallel Architecture for Highly Numeric Processing.
Proceedings of the PARLE '92: Parallel Architectures and Languages Europe, 1992

1991
3D hardware packages for parallel architectures.
Microprocessing and Microprogramming, 1991

1990
A risc central processing unit for a massivelly parallel architecture.
Microprocessing and Microprogramming, 1990

1988
A highly parallel processor with an instruction set including relational algebra.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988

1987
The Database Processor 'RAPID'.
Proceedings of the Database Machines and Knowledge Base Machines, 1987


  Loading...