Isabelle Puaut

Orcid: 0000-0001-9310-9651

Affiliations:
  • IRISA Rennes


According to our database1, Isabelle Puaut authored at least 87 papers between 1992 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
SCHEMATIC: Compile-Time Checkpoint Placement and Memory Allocation for Intermittent Systems.
Proceedings of the IEEE/ACM International Symposium on Code Generation and Optimization, 2024

Fast and Accurate Context-Aware Basic Block Timing Prediction using Transformers.
Proceedings of the 33rd ACM SIGPLAN International Conference on Compiler Construction, 2024

2023
CAWET: Context-Aware Worst-Case Execution Time Estimation Using Transformers.
Proceedings of the 35th Euromicro Conference on Real-Time Systems, 2023

2022
StAMP: Static Analysis of Memory Access Profiles for Real-Time Tasks.
Proceedings of the 20th International Workshop on Worst-Case Execution Time Analysis, 2022

Winston: Revisiting iterative compilation for WCET minimization.
Proceedings of the RTNS 2022: The 30th International Conference on Real-Time Networks and Systems, Paris, France, June 7, 2022

CATREEN: Context-Aware Code Timing Estimation with Stacked Recurrent Networks.
Proceedings of the 34th IEEE International Conference on Tools with Artificial Intelligence, 2022

RT-DFI: Optimizing Data-Flow Integrity for Real-Time Systems.
Proceedings of the 34th Euromicro Conference on Real-Time Systems, 2022

2021
WE-HML: hybrid WCET estimation using machine learning for architectures with caches.
Proceedings of the 27th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2021

2020
Attack Detection Through Monitoring of Timing Deviations in Embedded Real-Time Systems.
Proceedings of the 32nd Euromicro Conference on Real-Time Systems, 2020

2019
Worst-Case Execution-Time-Aware Parallelization of Model-Based Avionics Applications.
J. Aerosp. Inf. Syst., November, 2019

Guest editorial: special issue on the Real-Time Systems Symposium 2017.
Real Time Syst., 2019

Cache-conscious off-line real-time scheduling for multi-core platforms: algorithms and implementation.
Real Time Syst., 2019

A time-predictable branch predictor.
Proceedings of the 34th ACM/SIGAPP Symposium on Applied Computing, 2019

Reconciling Compiler Optimizations and WCET Estimation Using Iterative Compilation.
Proceedings of the IEEE Real-Time Systems Symposium, 2019

Hiding Communication Delays in Contention-Free Execution for SPM-Based Multi-Core Architectures.
Proceedings of the 31st Euromicro Conference on Real-Time Systems, 2019

Impact of DM-LRU on WCET: A Static Analysis Approach.
Proceedings of the 31st Euromicro Conference on Real-Time Systems, 2019

2018
Fine-Grain Iterative Compilation for WCET Estimation.
Proceedings of the 18th International Workshop on Worst-Case Execution Time Analysis, 2018

Using polyhedral techniques to tighten WCET estimates of optimized code: A case study with array contraction.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Tightening Contention Delays While Scheduling Parallel Applications on Multi-core Architectures.
ACM Trans. Embed. Comput. Syst., 2017

STR2RTS: Refactored StreamIT Benchmarks into Statically Analyzable Parallel Benchmarks for WCET Estimation & Real-Time Scheduling.
Proceedings of the 17th International Workshop on Worst-Case Execution Time Analysis, 2017


The Heptane Static Worst-Case Execution Time Estimation Tool.
Proceedings of the 17th International Workshop on Worst-Case Execution Time Analysis, 2017

Quantifying WCET reduction of parallel applications by introducing slack time to limit resource contention.
Proceedings of the 25th International Conference on Real-Time Networks and Systems, 2017

Cache-Conscious Offline Real-Time Task Scheduling for Multi-Core Processors.
Proceedings of the 29th Euromicro Conference on Real-Time Systems, 2017

WCET-aware parallelization of model-based applications for multi-cores: The ARGO approach.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
State of the Journal.
IEEE Trans. Computers, 2016

Cache-Persistence-Aware Response-Time Analysis for Fixed-Priority Preemptive Systems.
Proceedings of the 28th Euromicro Conference on Real-Time Systems, 2016

Probabilistic WCET estimation in presence of hardware for mitigating the impact of permanent faults.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
Static probabilistic worst case execution time estimation for architectures with faulty instruction caches.
Real Time Syst., 2015

Tracing Flow Information for Tighter WCET Estimation: Application to Vectorization.
Proceedings of the 21st IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2015

Speeding up Static Probabilistic Timing Analysis.
Proceedings of the Architecture of Computing Systems - ARCS 2015, 2015

2014
A Formally Verified WCET Estimation Tool.
Proceedings of the 14th International Workshop on Worst-Case Execution Time Analysis, 2014

Traceability of Flow Information: Reconciling Compiler Optimizations and WCET Estimation.
Proceedings of the 22nd International Conference on Real-Time Networks and Systems, 2014

On the Comparison of Deterministic and Probabilistic WCET Estimation Techniques.
Proceedings of the 26th Euromicro Conference on Real-Time Systems, 2014

2013
Integrated Worst-Case Execution Time Estimation of Multicore Applications.
Proceedings of the 13th International Workshop on Worst-Case Execution Time Analysis, 2013

2012
An improved preemption delay upper bound for floating non-preemptive region.
Proceedings of the 7th IEEE International Symposium on Industrial Embedded Systems, 2012

PRETI: partitioned real-time shared cache for mixed-criticality real-time systems.
Proceedings of the 20th International Conference on Real-Time and Network Systems, 2012

PDPA: period driven task and cache partitioning algorithm for multi-core systems.
Proceedings of the 20th International Conference on Real-Time and Network Systems, 2012

Preemption delay analysis for floating non-preemptive region scheduling.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
WCET analysis of instruction cache hierarchies.
J. Syst. Archit., 2011

Scalable Fixed-Point Free Instruction Cache Analysis.
Proceedings of the 32nd IEEE Real-Time Systems Symposium, 2011

Predictable Binary Code Cache: A First Step towards Reconciling Predictability and Just-in-Time Compilation.
Proceedings of the 17th IEEE Real-Time and Embedded Technology and Applications Symposium, 2011

2010
Guest editorial: special issue of the Euromicro Conference on Real-Time Systems (ECRTS 2009).
Real Time Syst., 2010

2009
WCET Analysis of Multi-Level Set-Associative Data Caches.
Proceedings of the 9th Intl. Workshop on Worst-Case Execution Time Analysis, 2009

Using Bypass to Tighten WCET Estimates for Multi-Core Processors with Shared Instruction Caches.
Proceedings of the 30th IEEE Real-Time Systems Symposium, 2009

2008
The worst-case execution-time problem - overview of methods and survey of tools.
ACM Trans. Embed. Comput. Syst., 2008

WCET analysis of multi-level set-associative instruction caches
CoRR, 2008

WCET Analysis of Multi-level Non-inclusive Set-Associative Instruction Caches.
Proceedings of the 29th IEEE Real-Time Systems Symposium, 2008

Predictable Code and Data Paging for Real Time Systems.
Proceedings of the 20th Euromicro Conference on Real-Time Systems, 2008

2007
Predictable Paging in Real-Time Systems: A Compiler Approach.
Proceedings of the 19th Euromicro Conference on Real-Time Systems, 2007

WCET-Directed Dynamic Scratchpad Memory Allocation of Data.
Proceedings of the 19th Euromicro Conference on Real-Time Systems, 2007

Scratchpad memories vs locked caches in hard real-time systems: a quantitative comparison.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
WCET-Centric Software-controlled Instruction Caches for Hard Real-Time Systems.
Proceedings of the 18th Euromicro Conference on Real-Time Systems, 2006

2005
Safe measurement-based WCET estimation.
Proceedings of the 5th Intl. Workshop on Worst-Case Execution Time (WCET) Analysis, 2005

Cache Contents Selection for Statically-Locked Instruction Caches: An Algorithm Comparison.
Proceedings of the 17th Euromicro Conference on Real-Time Systems (ECRTS 2005), 2005

A WCET-Oriented Static Branch Prediction Scheme for Real Time Systems.
Proceedings of the 17th Euromicro Conference on Real-Time Systems (ECRTS 2005), 2005

2004
Static Determination of Probabilistic Execution Times.
Proceedings of the 16th Euromicro Conference on Real-Time Systems (ECRTS 2004), 30 June, 2004

2003
Calcul de majorants de pire temps d'exécution : état de l'art.
Tech. Sci. Informatiques, 2003

Impact of Automatic Gain Time Identification on Tree-Based Static WCET Analysis.
Proceedings of the 3rd International Workshop on Worst-Case Execution Time Analysis, 2003

2002
Low-Complexity Algorithms for Static Cache Locking in Multitasking Hard Real-Time Systems.
Proceedings of the 23rd IEEE Real-Time Systems Symposium (RTSS'02), 2002

ARTISST: An Extensible and Modular Simulation Tool for Real-Time Systems.
Proceedings of the 5th International Symposiun on Object Oriented Real-Time Distributed Computing, 2002

Real-Time Performance of Dynamic Memory Allocation Algorithms.
Proceedings of the 14th Euromicro Conference on Real-Time Systems (ECRTS 2002), 2002

2001
Worst-Case Execution Time Analysis of the RTEMS Real-Time Operating System.
Proceedings of the 13th Euromicro Conference on Real-Time Systems (ECRTS 2001), 2001

A Modular & Retargetable Framework for Tree-Based WCET Analysis.
Proceedings of the 13th Euromicro Conference on Real-Time Systems (ECRTS 2001), 2001

Experimental Evaluation of the Fail-Silent Behavior of a Distributed Real-Time Run-Time Support Built from COTS Components.
Proceedings of the 2001 International Conference on Dependable Systems and Networks (DSN 2001) (formerly: FTCS), 2001

2000
Worst Case Execution Time Analysis for a Processor with Branch Prediction.
Real Time Syst., 2000

Holistic schedulability analysis of a fault-tolerant real-time distributed run-time support.
Proceedings of the 7th International Workshop on Real-Time Computing and Applications Symposium (RTCSA 2000), 2000

Are COTS Suitable for Building Distributed Fault-Tolerant Hard Real-Time Systems?.
Proceedings of the Parallel and Distributed Processing, 2000

1999
An Approach for Fault-Tolerance in Hard Real-Time Distributed Systems.
Proceedings of the Eighteenth Symposium on Reliable Distributed Systems, 1999

Scheduling Fault-Tolerant Distributed Hard Real-Time Tasks Independently of the Replication Strategies.
Proceedings of the 6th International Workshop on Real-Time Computing and Applications Symposium (RTCSA '99), 1999

A Flexible Run-time Support for Distributed Dependable Hard Real-time Applications.
Proceedings of the 2nd International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC '99), 1999

1998
HADES: A Middleware Support for Distributed Safety-Critical Real-Time Applications.
Proceedings of the 18th International Conference on Distributed Computing Systems, 1998

1997
A Survey of Recoverable Distributed Shared Virtual Memory Systems.
IEEE Trans. Parallel Distributed Syst., 1997

Stardust: An Environment for Parallel Programming on Networks of Heterogeneous Workstations.
J. Parallel Distributed Comput., 1997

Improving Reliability of Distributed VoD Servers.
Proceedings of the International Conference on Multimedia Computing and Systems, 1997

1996
A proposal for Ensuring High Availability of Distributed Multimedia Applications.
Proceedings of the 15th Symposium on Reliable Distributed Systems, 1996

Ensuring High Availability of Distributed Multimedia Applications.
Proceedings of the IEEE International Conference on Multimedia Computing and Systems, 1996

Dealing with Heterogeneity in Stardust: An Environment for Parallel Programming on Networks of Heterogeneous Workstations.
Proceedings of the Euro-Par '96 Parallel Processing, 1996

1995
The Performance of Consistent Checkpointing in Distributed Shared Memory Systems.
Proceedings of the 14th Symposium on Reliable Distributed Systems, 1995

Isatis: A Customizable Distributed Object-Based Runtime System.
Proceedings of the Object-Based Parallel and Distributed Computation, 1995

Adaptive Placement of Method Executions within a Customizable Distributed Object-Based Runtime System: Design, Implementation, and Performance.
Proceedings of the 15th International Conference on Distributed Computing Systems, Vancouver, British Columbia, Canada, May 30, 1995

A Recoverable Distributed Shared Memory Integrating Coherence and Recoverability.
Proceedings of the Digest of Papers: FTCS-25, 1995

1994
Efficient Treatment of Failures in RPC Systems.
Proceedings of the 13th Symposium on Reliable Distributed Systems, 1994

Towards Safe and Efficient Customization in Distributed Systems.
Proceedings of the 6th ACM SIGOPS European Workshop: Matching Operating Systems to Application Needs, 1994

A Distributed Garbage Collector for Active Objects.
Proceedings of the Ninth Annual Conference on Object-Oriented Programming Systems, 1994

Arche: A Framework for Parallel Object-Oriented Programming Above a Distributed Architecture.
Proceedings of the 14th International Conference on Distributed Computing Systems, 1994

1992
Distributed Garbage Collection of Active Objects with No Global Synchronisation.
Proceedings of the Memory Management, 1992


  Loading...